库存清单23
来源:    发布时间: 2019-11-04 12:52   8071 次浏览   大小:  16px  14px  12px

 

P/N / Description Qty
KLA-Tencor CRS1010 Optical PCB PWA E11-13209-7 Rev D working 7
Asahi Kogyosha F930 79 43(3)A EX Intlock Board PCB 36020223A Nikon NSR Used 1
Asahi Kogyosha F930 79 43(3)A EX Intlock Board PCB 36020223A Nikon NSR Used 1
GSI Lumonics 003-3002009 Control PCB KLA-Tencor CRS1010 Used Working 7
TEL P-8 Wafer Prober Panasonic Kuroda MSM021P2A Ball Screw Used Working 4
TEL FA1006K501A Control Board Used Working 3281-000138-13 P8 Prober 2
TEL FA1006K501A Control Board Used Working 3281-000138-11 P8 Prober 4
Applied Materials AMAT 0100-91087 Sol/Fil EXT Interface Board Used Working XR80 2
Applied Materials AMAT 0100-90650 Wheel Current Board Used Working XR80 5
Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80 5
Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80 2
Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80 5
AMAT Applied Materials 0090-90966 daq Mkll Type B Power Supply XR80 Used Working 1
AMAT Applied Materials 0090-90966 daq Mkll Type B Power Supply XR80 Used Working 1
AMAT Applied Materials 0090-90966 daq Mkll Type B Power Supply XR80 Used Working 1
AMAT 0100-01032 Vacuum Control Interlock Board Used Working XR80 2
AMAT Applied Materials 0100-90071 Cryo Pump Temp Monitor Board Used Working XR80 3
Applied Materials AMAT 0100-90177 Rev.C Vacuum Control Drive Board Working XR80 4
Applied Materials AMAT 0100-90177 Rev.C Vacuum Control Drive Board Working XR80 2
KLA-Tencor CRS1010 PMT Preamp PCB 000276 6
KLA-Tencor CRS1010 PMT Preamp PCB 000276 1
AMAT 0100-00505 Vacuum Control Feedback Board Used Working XR80 1
AMAT 0100-01351 Thermistor Gauge Controller Board Used Working XR80 1
AMAT 0100-00504 Vacuum Control Feedback Board Used Working XR80 1
AMAT 0100-01351 Rev. A Thermistor Gauge Controller Board Used Working XR80 1
AMAT Applied Materials 0100-01033 Vacuum Control Interlock Board XR80 Used 1
Ultrapointe 000134 Page Scanner Control PCB Rev. 06 KLA-Tencor CRS-1010 Used 7
AMAT 0100-90178 Rev. J Vacuum Control Feedback Board Used Working XR80 2
AMAT 0100-90178 Rev. J Vacuum Control Feedback Board Used Working XR80 2
AMAT 0100-90706 Rev. B Vacuum Manual Control Board Used Working XR80 1
AMAT 0100-90706 Rev. B Vacuum Manual Control Board Used Working XR80 1
AMAT 0100-94037 Loadlock System Control Panel Used Working XR80 1
AMAT Applied Materials 0100-90093 Ion Gauge Power Supply PCB Card XR80 Used 1
KLA-Tencor CRS1010 SDP Frame Grabber PCB 000483 2
AMAT 0100-90870 Rev. D 4 Phase Stepper Controller  Board Used Working XR80 1
AMAT 0100-90025 Rev. Y 24V 1A Power Supply Board Used Working XR80 1
AMAT 0100-90025 Rev. Y 24V 1A Power Supply Board Used Working XR80 4
AMAT 0100-91040 Rev. D Vacuum Manual Control Board Used Working XR80 1
AMAT 0100-91040 Rev. D Vacuum Manual Control Board Used Working XR80 1
AMAT 0100-90468 Rev. F Charge Voltage Board Used Working XR80 4
Fusion Systems 264301 Power Supply Used Working M200 1
Fusion Systems PWB 248401 Rev. F 8086 CPU Card Used Working 1
Nikon 4S013-468 Interface Board PCB RM-I/FX4B NSR-S307E 200mm DUV Used Working 1
Nikon 4S013-468 Interface Board PCB RM-I/FX4B NSR-S307E 200mm DUV Used Working 1
Signal Tower Control Card PWB 238781 Rev. D Used Working 1
Nikon NSR-S307E Linear Track Z-4B1A-A1601 working 1
Nikon NSR-S307E  Z-4B1A-A1601 Power Supply Module 4S019-235 working 4S001-107 1
Nikon NSR-S307E Elevator Working 1
Nikon Z-4B1A-A1601 Cassette Elevator NSR-S307E working 4S013-467-CSTLNK3 1
Fusion Semiconductor Wafer Handler Card PWB-43758 Rev. C Used Working 1
Fusion Semiconductor Wafer Handler Card PWB-43758 Rev. C Used Working 1
Fusion Semiconductor 249181 Dual Cassette Handler PCB Card Rev. E Used Working 1
Acromag IP Carrier PCB ASML AVME9675-2 4022.470-6639 Used Working 1
Philips ASML Motion Card 40224365035 Used Working 1
Philips ASML Special Acquisition Card MVA2000 40224368254 Used Working 1
Nikon NSR-S307E XY-Link Controller Board 4S013-414-XYRLNK working 1
Panasonic MSDA4ACA1A04 AC Servo Driver Used Working 2
Fusion Semiconductor 238401 Process Chamber Control Card PWB Assembly Used 1
Nikon Opistation 3 Microscope Assembly working 1
TEL Tokyo Electron 837 PRA Process Block Robotics Arm Assembly ACT12-200 Used 1
CTI-Cryogenics 8001 Controller 8052001 lot of 2 untested as-is 1
Module Controller 1
Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used 12
Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used 2
Shimaden PAC27-Series Thyristor Power Regulator PAC27P315-02010N010 working 1
Shimaden PAC27-Series Thyristor Power Regulator PAC27P315-02010N010 working 4
Oriental Motor 4054-LD4 5-Phase Servo Drive Super Vexta Reseller Lot of 9 Used 1
Semiquip LCAT200P-20001 200mm Cassette Alignment Tool Wafer AMAT Used Working 2
Entegris 201-39-01 Manually Operated Diaphragm Valve New Surplus 1
Lam Research 852-014681-583 RF Generator Cart 851-014680-583 Untested As-Is 1
KLA-Tencor MMD Housing & Fan Assembly 0032113-00 Rev.AB working 0032839-001 2
ATMI ESCA Difusion Furance Kit 233405641 new 233405043 1
National Instruments GPIB-SCSI-A IEEE-488 SCSI Controller lot of 3 working 1
Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 Used 2
Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 Used 1
Digital Dynamics 02-122156-00 sioc Chassis Mezzanine Novellus 01-133875-00 Used 1
Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working 1
Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working 1
Digital Dynamics 02-134495-00 SIOC Anneal 4 Interlock Module Used Working 1
Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Used Working 1
Digital Dynamics 27-053659-00 sioc Controller Module Novellus Used Working 1
KLA-Tencor 003928-000 SP1 Interface Assembly Used Working 1
PRI Automation TRA035-LPS Track Controller KLA-Tencor 0014445-000 Used Working 1
Irie Koken 1SV25M0 Angle Valve Lot of 10 Used Working 2
EAD Motors LA23GCKA-2P KLA-Tencor SP1 Linear Actuator Assembly 740-772820-000 1
Robitech 980-2300 Valve Module 681-0181-009 Lot of 7 Working 1
Robitech 980-2300 Valve Module 681-0181-009 Lot of 7 Working 1
Robitech 980-2300 Valve Module 681-0181-009 Lot of 7 Working 2
Robitech 859-0944-002 Transducer Board PCB Rev. B Used Working 1
Robitech 859-0944-002 Transducer Board PCB Rev. B Used Working 1
ROBITECH 8 Valve Module 980-4800 Series P/N 980-4825 Working lot of 9 1
Edwards Barocel Pressure Sensor 655AB Lot of 5 Used Working 1
Valcom Pressure Switch RN-760Torr-2VAO-4TL7 Lot of 9 Used Working 1
Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working 4
Bertan Power Supply PMT-10CN-3 179515 Rev. AC Used Working 4
Nikon Power Board 45018-923 Used Working 1
ASML WH SHB Interface Module 4022.470.5367 Used Working 1
ASML WH SHB Interface Module 4022.470.5367 Used Working 1
ASML SSD Module 4022.470.0445 Used Working 1
Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 working 1
Dalsa TA-L2-04K30-50E Lens Module Hitachi I-900SRT Lot of 2 As-Is 1
Nemic-Lambda EWS100-24 DC Power Supply Lot of 3 Used Working 1
Hitachi S-9300 Robot Pre-aligner Cables working 1
Hitachi S-9300 Robot Pre-aligner Cables working 1
Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working 1
Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working 3
Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working 3
Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working 1
Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working 2
Yaskawa VS2B Robot & Controller RC/ELC1 DDMQF-SR22321R Set Used Working 1
Yaskawa VS2B Robot & Controller RC/ELC1 DDMQF-SR22321R Set Used Working 1
Bio-Rad 3�5/0�06 Microscope Objective Quaestor Q7 Used Working 7
Robitech 859-8067-004 Pneumatic Pressure Console Rev. B working 3
Applied Materials STD PVD Degas Chamber Quartz Viewpoint Lid Assembly 0010-19026 2
Applied Materials STD PVD Degas Chamber Quartz Viewpoint Lid Assembly 0010-19026 1
Therma-Wave TP-420 Laser Power Supply 208V 20A Single Phase working 1
AMAT Applied Materials ATM-20 Dual Laser Operator Terminal Endura Centura Used 2
AMAT Applied Materials ATM-20 Dual Laser Operator Terminal Endura Centura As-Is 1
Progressive Technologies Inc. Sentry S1510 Controller EMB51-15-5 working 1
ASML 4022-436-1609 ASML Control Board working 2
Bio-Rad Microscope Lens Filter Quaestor Q7 Used Working 2
Kniel System-Electronic CPD 12.1 12V Power Supply Card ASML 4022.436.52151 Used 1
ASML 4022-471-6615 Analog I/O Board 4022-471-4087 working 2
Kniel System-Electronic CPD 3,3.10 3,3V Power Supply ASML 4022.436.57831 Used 2
Kniel System-Electronic CPD 8.8/4 8V Power Supply Card ASML 4022.436.57841 Used 1
ASML 4022.471.4648 PCB Control Card Used Working 1
Kniel System-Electronic CPD 8.1,5/1 8V Power Supply ASML 4022.436.86882 Used 1
ASML 4022-471-4635 ASML Bridge PCB working 1
ASML 4022-471-5839 ASML Bridge PCB working 1
ASML 4022-471-4069 ASML Bridge PCB working 1
ASML 4022-471-4567 ASML Bridge PCB working 1
ASML 4022-471-4643 ASML Bridge PCB working 7
ASML 4022-471-4117 ASML Bridge PCB working 1
ASML 4022-471-4108 ASML Bridge PCB working 1
Bio-Rad 30/0�50 Microscope Objective Quaestor Q7 Used Working 7
Sti MC4216-AC1 Light Curtain Controller Used Working 1
Novellus RF Match 02-266279-00 Rev. C New 1
ASML REM Extension Board 4022.436.6475 Used Working 2
iNCAA Computers ASML Control PCB VME-VK22-5723 4022.470.2174 Used Working 4
Kniel System-Electronic CP 8.2,5 8V Power Supply Card ASML 4022.436.72591 Used 2
ASML 24V Power Supply 4022.436.79311 Used Working 1
ASML Power Supply 4022.436.52151 Used Working 1
Kniel System-Electronic CP 24.2,2 24V Power Supply Card ASML 4022.430.14761 Used 1
ASML Power Supply 4022.476.01511 Used Working 1
Nikon BMU-PWR PCB 4S018-808 Used Working 4
Nikon BMU-DRV PCB 4S018-807 Used Working 2
Nikon BMU-DRV PCB 4S018-807 Used Working 2
Nikon BMU-CTRL PCB 4S018-806 Used Working 2
Nikon BMU-CTRL PCB 4S018-806 Used Working 1
Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 11
Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 1
Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 1
Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 1
ASML 5V Power Supply 4022.436.55291 Used Working 2
ASML 24V Power Supply 4022.436.43051 314-009-04.12 Used Working 2
ASML Interface PCB 4022.471.4533 Used Working 1
ASML SO2 PCB 4022.471.4096 Used Working 1
ASML Interface PCB 4022.471.5580 Used Working 1
ASML Interface PCB 4022.471.4099 Used Working 1
ASML Interface PCB 4022.471.5691 Used Working 1
ASML Interface PCB 4022.471.5581 Used Working 1
ASML Interface PCB 4022.471.4641 Used Working 1
ASML Interface PCB 4022.471.4105 Used Working 1
ASML Interface PCB 4022.471.7196 Used Working 1
ASML Motor Interface PCB 4022.471.6817 Used Working 1
ASML Bridge PCB 4022.471.4629 Used Working 1
Motech 0090-91123 Voltage Clamp Unit  CD51/E AMAT XR80 Implant Used Working 1
Motech 0090-91123 Voltage Clamp Unit  CD51/E AMAT XR80 Implant Used Working 1
ASML Bridge PCB 4022.471.46371 Used Working 1
ASML Bridge PCB 4022.471.4111 Used Working 1
ASML Bridge PCB 4022.471.4633 Used Working 1
ASML Bridge PCB 4022.471.7213 Used Working 1
ASML Bridge PCB 4022.471.4102 Used Working 1
ASML Bridge PCB 4022.471.42862 Used Working 1
ASML Bridge PCB 4022.471.4639 Used Working 1
ASML Bridge PCB 4022.471.5059 Used Working 1
Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working 3
Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working 1
Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working 5
Tachikawa TC-Converter TC-2000K Lot of 3 Used Working 1
Schlumberger C/H Comparator Board 97911054 Rev. 4 Used Working 1
Applied Materials 25' KVM Harness Assembly 0140-14384 Lot of 3 New 1
MRC Eclipse Star Drive Assembly A126257 Used Working 1
MRC Materials Research A114569 Index Assy. Rev. A Eclipse Star Incomplete As-Is 1
CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8F MRC Eclipse Used Working 3
MRC Eclipse Star Plenum Chuck Assembly 25438-2100 Used AS-IS 1
Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC A112990 Used Working 3
MRC Eclipse Star Power Supply Module A121208 Used Working 1
MRC Materials Research Corp 883-87-00 PCB Board Eclipse Star Used Working 2
Stearns MRC Eclipse Star Drive Assembly 2-12-1807-00-AEH Used Working 1
AMAT Applied Materials 0100-90533 Rev. C Beamline Vacuum Control Panel Used Working 1
Inficon H200M Transpector Residual Gas Analyzer Untested As-Is 1
MRC Materials Research 885-11-000 Analog Process PCB POS. 3 Rev. D Eclipse Used 1
MRC Materials Research 884-53-000 Transfer Arm PCB Rev. E Board Eclipse Used 1
MRC Materials Research PBA 115970-008 Multibus PCB Card Eclipse Used Working 1
MRC Eclipse Materials Research Corp. PBA 618793-002 Control PCB PSBC221S working 1
Opto 22 PB32P2 Relay Board 884-15-000 MRC Materials Research Eclipse Star Used 1
MRC Materials Research Corp A115355 Power Box Eclipse Used Working 1
Opto 22 PB32P2 Relay Board PCB ODC5Q MRC Materials Research Eclipse Star Used 3
IKO Nippon Thompson TSL220-600/A10E583 Robot Track Hitachi S-9300 Used Working 1
Orion Machinery ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Used 2
Komatsu Electronics 20000620 Heat Exchanger Power Supply GR-712-1 Working Spare 1
Komatsu Electronics 20000620 Heat Exchanger Power Supply GR-712-1 Working Spare 1
DNS Electronics SLAM Etch Tank Module DS-1211 Used Working 1
Iicon Series C ID-1561 15-inch Industrial Monitor 05-1620-00 Rev. C working 1
DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working 1
DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working 2
Nikon 4B023-312-2AN Guide Assembly working 3
Leybold 307285-2002-F System Controller working 1
Leybold 307285-2002-F System Controller working 1
Bison 011-336-2011 DC Gearmotor 32-999-2904-003 lot of 2 working 1
LAM Research 853-024403-100-8 Orbital Gas Panel 4420 Etcher Assembly Untested 1
Siemens SITOP Power 2 Supply 6EP1 331-1SL11 Used Working 1
Siemens PLC Relay 230RCLB11 6ED1 053-1FH00-0BA2 Used Working 2
Celerity 9240-03580 Mass Flow Controller Argon Bleed Assembly working 1
Fluke Digital Thermometer Indicator 2175A Used Working 1
Tokyo Electron  ACT12  Interface Plate SMC INR-244-233B 1
Hitachi Wafer Prealigner S-9300 CD Scanning Electron Microscope System Used 1
MRC Eclipse Star Chamber Assembly A120946 Used Working 1
Particle Measuring Systems Particle Counter AM-24-1.0-(3) Used Working 1
Particle Measuring Systems Particle Counter AM-24-1 Used Working 1
Acumen 10019 Vision Card Rev. E PCB TEL Tokyo Electron P-8 Used Working 5
MKS Instruments 152-1063P Pneumatic Angle Valve Used Working 1
NTI Network Technologies KEEMUX-P2 2-port Video Switching KVM Splitter Used 2
Network Technologies NTI KVM Switch VOPEX-2KIM-A Lot of 4 Used Working 1
Network Technologies NTI KVM 2-Port Switch VOPEX-2KIM-A Lot of 5 Used Working 1
AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working 2
NSK ESA-J2006AF3-20 Theta Axis Servo Drive ESA Used Working 1
NSK ESA-J2006AF3-20 Theta Axis Servo Drive ESA Used Working 1
Omron Photoelectric Sensor Set Z4LC-S28 Used Working 2
Keyence Photoelectric Sensor Set FS-V1, PS-T2, PS-49C Used Working 1
SUNX SC-MIL SC-T8J FX-301B FT-WA8 Photoelectric Sensor Modules Lot of 4 Used 1
Keyence Laser Scanner Reader BL-600HA Used Working 1
Keyence Laser Scanner Reader BL-600HA Used Working 5
Nikon NSR AVIS2 ERG Amp Module 4S587-011 Used Working 1
ETEL DSB2P123-111E-000H Digital Servo Amplifier Working 5
SVG Pacific Scientific Spindle Motor Controller 121-142H Used Working 8
Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 8
Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 1
SVG Silicon Valley Group Coater Spindle Motor Controller 121-143K 90S DUV Used 10
SVG Silicon Valley Group Coater Spindle Motor Controller 121-143K 90S DUV Used 1
Keithley Matrix Card 7071 Used Working 1
Keithley Matrix Card 7071 Used Working 2
AMAT XR80 Implant Gap Servo Controller  0090-91251 ITL 1
Nikon PZT Driver 4S061-686 Used Working 2
Pacific Scientific SC750 Servo Controller 121-236 Rev.C working 1
Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Used Working 2
Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Used Working 1
Sony UP-51MDU Color Video Printer RM-5500 working 1
Sony UP-51MDU Color Video Printer RM-5500 working 4
Motorola 84-W8347F01B Control Board MVME 147-023 Used Working 1
Millipore WCDS000F4 Photoresist Dispense Pre-Dispense Controller Working Spare 7
Novx Digital Workstation Monitor Series 6000 Used Working 1
Invax Technologies ESC-9232B Electrostatic Chuck AM-END-500C-12SB New Surplus 1
Komatsu KDP1320LE-1 Control Panel Used Working 1
Invax Technologies Echuck PVD 300mm ESC-9232 New 1
Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator Used 2
Koganei Vacuum Assembly SP1207W 4K191-631 Used Working 1
Koganei Vacuum Assembly SP1207W 4K191-631 Used Working 1
Schumacher Temperature Controller 1442-0002A TCU100 TLC Used Working 1
Yaskawa Nikon NSR Motor Controller 4S061-666-1 CLSR-A504-N2SB Used Working 1
JAE 4400-1100 6 Axis Vibration Measurement Unit Nikon 4S585-505 Used Working 1
Nikon Pneumatic Air Module 17AC-1 Used Working 1
SUNX Sensors SFI-AC Safety Sensor Box Used Working 1
JAE 6 Axis Vibration Measurement Unit 4S586-750 JNP-004 Used Working 1
Nikon PWM-DRVI Driver PCB 4S018-707-2A Used Working 1
Nikon Control Module NVDI-48A Used Working 2
Teradyne Interface PCB 950-944-02/04210 Used Working 1
Teradyne Satellite Control PCB 950-818-05-9635 Used Working 1
SMC AF3000-03-2R Regulator Filter Assembly AR2500-03G-R-X182 lot of 6 working 2
Sanken Electric MLT-DCB0X5 DC Power Supply Used Working 17
Yaskawa Electric SGM-02A312B AC Servo Motor TEL ID86-004116-13 Unity II Used 2
Yaskawa Electric SGM-02A312B AC Servo Motor TEL ID86-004116-13 Unity II Used 12
RECIF Technologies IDLW8-A9307 Computer Used Working 1
Nikon 6S700-196-1 EX-XB PCB Printed Circuit Board working 1
National Instruments Terminal Block BNL-2090 New 1
Pacific Scientific Stepper Drive 6415-001-N-N-N Lot of 5 Used 5
Pneutronics Air Interface Module 4962634A Used Working 1
Nikon Interface PCB STGX32A 4S008-005-A Used Working 1
Nikon 4S782-766 Stepper Controller Driver Used Working 1
Nikon 4S782-766 Stepper Controller Driver Used Working 1
CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Working 1
Nikon 4S064-072 TDK MSE182G Power Supply Module RGW48-32R working 1
CKD Solenoid Valve Manifold N4S0-T50 Lot of 7 Used Working 1
CKD N4S0-T50 Solenoid Valve Manifold N4S0-Q lot of 15 N4S0-E working 1
CKD N4S0-T50 8 Port Pneumatic Manifold N4S030 Solenoid Valve Lot of 4 Used 2
CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 7 Used Working 1
Horiba Advanced Techno HF-960M Concentration Monitor HF/HCl/NH3 Meter Working 1
Horiba Advanced Techno HF-960M Concentration Monitor HF/HCl/NH3 Meter Working 1
CKD N4S0-T50 14 Port Pneumatic Manifold N4S030 Solenoid Valve Lot of 5 Used 4
Showa Optronics HeNe Laser GLG5414 Not Working AS-IS 1
Nikon Link PCB 4S013-419-CSTLNK2 Used Working 1
Screen Lifter Assembly FC-3000 New 3
DNS Dainippon Screen CHCL Bath Controller Module FC-3000 Working Spare 1
DNS Dainippon Screen CHCL Bath Controller Module FC-3000 Working Spare 1
Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF FC-3000 Used Working 1
DNS Electronics FC-3000 Wafer Reader Module SCREEN New 1
DNS Electronics FC-3000 630300367 LPD Module SCREEN FVR-E11 DS-1608-TR 1
NOW Technologies Nowpack Level Monitor Control Box Lot of 3 Used Working 1
Nikon Fostec 80951-0 Dual Slit Macro Illuminator lot of 2 working 1
MKS Type 260 Power Supply 260PS-1 Used Working 2
Fostec Fiber Optic Cable Assembly Lot of 2 Nikon Optistation 7 Used Working 1
Sena Power Supply 2450DR MKII Used Working 1
Keyence Light Curtain Base and Extensions PJ-V20T PJ-V21T Used Working 1
Schlumberger Power Supply 715021420 Used Working 1
RECIF Technologies Wafer ID Handler IDLW8 IDLW8-A9607 missing parts As-Is 1
Nikon Lens Assembly J2744BB Used Working 1
AMAT Applied Materials 0010-11228 300mm Magnet Assembly new 1
TECO DES375-010-8 Pneumatic Board working 1
Daifuku SCB-3618A Power Relay Board working 1
Daifuku CCB-3600A Power Board working 1
Compare Autocal 100-0090-01 Interface PCB working 2
Motech AMAT XR80 Implanter Voltage Clamp Unit 0090-91598 1
Integrated Measurement Systems 100-0091-001 Interface PCB lot of 2 working 1
Saginomiya Refcon SNE-B100Q3 Temperature Controller working 18
AMAT Applied Materials 0040-48435 300mm Upper Chamber Adapter new 1
Applied Materials AMAT Single Zone Heater 300mm Kit 0242-17788 New 1
AMAT Applied Materials 0010-24988 Shutter Garage Housing Assembly new 1
AMAT Applied Materials 300mm XP Degas Reflector 0020-25373 new 2
AMAT Applied Materials 0021-19152 Particle Ring new 2
Diavac Limited LCAV-25H Pneumatic Angle Valve Reseller Lot of 10 Used Working 1
SVG Silicon Valley Group 99-43012-01 Shuttle Transfer Arm working 3
AMAT Applied Materials 300mm PVD Chamber Cryo-Shield 0021-16035 new 2
AMAT Applied Materials 0020-53725 Cover, Clear Lid AFREOL new 1
Applied Materials AMAT 0240-48741 300mm Legacy PMR Target Locking Kit New 6
AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter new 1
Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working 1
Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working 3
Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working 1
Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working 6
Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working 5
Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working 1
Ohaus Precision Digital Scale GT2100 Used Working 1
Nikon AVIS-I/F2 PCB 4S007-787-2 Lot of 2 Used Working 1
Nikon STGX3A PCB 4S007-945 Used Working 2
Nikon NSR A-552 Interface PCB 4S007-865 Used Working 2
Nikon NSR PLD1039 Interface Board 4S018-650 Used Working 2
Nikon 4S013-310 Interconnect Fuse Board PCB BLX3 Reseller Lot of 2 Used Working 1
Nikon PCB EX-AIS 4S007-843-F Lot of 2 Used Working 1
Nikon X2A-STGA/D PCB AD676JD 4S007-902-1 Used Working 3
Nikon LC-PRES2B PCB CD-154S60 4S080-627 Used Working NSR 2
Nikon PCB AF-PSDX21 4S007-879-1 Lot of 2 Used Working 1
Nikon LC-PRES2A PCB Missing Chip 4S080-626 Used Working NSR 2
Nikon NSR FIAAF Process PCB 4S007-667-A Used Working 2
Nikon IU-X2A AD676JD PCB 4S007-900-1K Used Working 2
Nikon 4S007-668-A FIAAF Process B Board Used Working 2
Kokusai SCOM2A PCB 87W19 D1E012238 Used Working 1
SMC C92LADF63-100-D-A53L3 Double Acting Cylinder C9LA63-DA working 1
SMC C92LADF63-100-D-A53L3 Double Acting Cylinder C9LA63-DA working 4
Kokusai SCOM3A PCB D1E01225A Used Working 2
Nikon FIAAF-TYUUKEI-A PCB 4S007-948 4S007-930 Lot of 2 Used Working 1
TEL Tokyo Electron 2981-600652-W1 CSS #01 Board ACT8 Used Working 3
Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18 Used Working 1
Nikon NK-C31D21 Interface PCB 4S015-130-1 Lot of 10 Used Working 1
Laser Applications 9530 Laser Head untested as-is 1
Matsusada PC-532a Power Supply Board Used Working 1
Sti OF4172B-2 Light Curtain Controller 42614-0720 working 3
ECI Technology Control PCB TQ501564-01 Used Working 1
Johnson Controls D350AA-1 Display & S350AA-1 Stage Module lot of 14 working 1
PRI I/O Station PCB BM26385 Used Working 4
PRI Control Board BM10995 Used Working 4
PRI Power Relay PCB BM18673L03RM Used Working 2
PRI Power Relay PCB BM18673L05RN Used Working 1
PRI Power Relay PCB BM18673L03RP Used Working 1
PRI Power Relay PCB BM18673L05 Used Working 2
PRI Power Relay Board BM18673L03R Working 2
Nikon Optistation 3 Objective Assembly Lot of 2 Untested AS-IS 1
PRI Power Relay PCB BM18673L03RO Used Working 3
Tokyo Electronic Industry Touch Screen Monitor BE6138A2 Used Working 1
PRI Power Relay Board BM18673L05RO Used Working 1
Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine Design As-Is 1
Bertan PMT-10C/N-3 High Voltage Power Supply working 1
QI Queensgate Instruments NS2300D Controller 4S288-213-1 working 4
Nikon 4L990-544AN Lens Sensor Assembly working 2
Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 working 2
Nikon 4S082-592AN Guide Assembly working 2
Queensgate Instruments NS2300A Controller Module 4S587-005 working 1
KLA-Tencor 000056 Lens Filter Assembly Rev.A 347949 working 000057 1
KLA-Tencor Lens Focus Assembly 374113 Used Working 1
KLA-Tencor 347795 Lens Filter Assembly 347930 working 1
Hamamatsu Photomultiplier Tube 4L991-092 H7608-01 Used Working 1
AMAT Applied Materials 9240-04481 ITL Grounding Bar Assembly Lot of 2 Used 1
Nikon CF Plan Apo 150x/0.90 Microscope Objective Optistation 7 Used Working 1
Nikon Magnify Lens Assembly 4L990-453 Used Working 2
Nikon 4L990-451 Magnifying Lens working 1
Nikon NSR Magnifying Lens 4L990-429 Used Working 1
Yamatake DMC50 Multi-loop Controllers DMC50CS lot of 2 DMC50M working 1
Nikon 4L990-452 Magnifying Lens working 2
Cutler-Hammer Eaton Comet Series 14102AS5170 Sensor lot of 13 working 1
Nikon 4L990-253 Magnifying Lens used working surplus 2
Nikon Encoder Assembly BM06607AB Used Working 1
Schlumberger 740021410 DC Power Supply Rev.02 working 1
HD Hokuto Denko HZ-3000 Automatic Polarization System working 1
Applied Materials AMAT FEOL 300mm Gas Spring Install Tool Kit 0240-47188 New 1
ASML 4022.472.0696 MCCB-2 Prodrive Board 4022.471.7605 working 6001-0301-6004 3
Millipore W2501KP01 Teach Pendant Photo-250 Used Working 1
ASML S02 Board 4022.471.5239 working 1
Keyence PJ-V22R Light Curtain Receiver PJ-V21R working PJ-V20R 2
Keyence PJ-V22T Light Curtain Transmitter PJ-V21T working PJ-V20T 2
HPS 109410028 941 Cold Cathode Gauge M-511E Used Working 3
HPS 109410028 941 Cold Cathode Gauge M-511E Used Working 2
ASML 4022.471.7726 Power Board working 2
AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working 7
AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working 1
ASML 4022.471.7729 Relay Board 4022.471.7951 lot of 2 working 1
ASML CTMR Board 4022.471.5765 working 1
ASML 4022.471.6850 S15 Relay Board 4022.471.6851 working 1
ESA NSK Driver ESA-LYA2AF5-20 2980-19432-11 1
ASML 4022.471.5616 Interface Board PCB Card S15 4022.471.56171 Used Working 1
ASML 4022.471.5616 Interface Board PCB Card S15 4022.471.56171 Used Working 1
ASML S06 Board 4022.471.5242 working 1
ASML S03 Board 4022.471.7092 working 1
Mitsubishi PLC Analog I/O Block FX1N-24MR-ESC/0L Used Working 1
ASML Extender 06 Board 4022.471.5751 working 1
Mitsubishi FXON-3A I/O Block FXON-24MR-ES Used Working 4
Nikon 200mm Wafer Microscope Inspection Stage 2S700-534 OPTISTATION 3 Used 2
SVG Silicon Valley Group 99-80205-01 SYS90 System I/O PCB Rev.C working 1
STEC HC-100A Read Out Module HC-100 Lot of 4 Used Working 2
Y7 Surger Control 1718-017A working 1
Pureron Flow Meter DFM2-1000 Lot of 4 Used Working 2
AMAT Applied Materials 0020-87128 300mm Tray Dome Controller new 1
Hoya-Schott SD-330 AOFS Driver working 3
Communication Specialties VGA Splitter TwinSplit 1035 Lot of 9 Used Working 1
PRI Conkey ND 6' RMV BM19467L08/99 Used Working 1
VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working 1
VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working 1
Zellweger Non-Intrusive Calibrator Digi-Cat Lot of 14 Used Working 2
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2 Used Working 1
Modus Instruments DA-4-04M-0-RR Display Alarm Reseller Lot of 3 Used Working 1
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working 1
VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4 Used Working 1
VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working 3
Applied Materials AMAT 300mm Chamber Controller Cover Kit 0240-30959 New 6
Applied Materials AMAT 300mm Chamber Controller Cover Kit 0240-30959 New 1
AMAT Applied Materials 0010-07476 300mm Clear PVD Chamber Lid new 2
AMAT Applied Materials 0010-08061 300mm Preclean Chamber Viewport Assembly new 2
AMAT Applied Materials 0240-18644 Mainframe PVD/PC Chamber Mounting Kit new 1
Applied Materials AMAT Analog I/O AIO PCB 0100-00546 Rev. 002 Used Working 1
AMAT Applied Materials 0240-50175 Endura2 CVD Gasbox Dual Exhaust Kit new 2
AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New 1
AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New 1
AMAT Applied Materials 0100-20458 PVD/IMP Chamber Interlock 0100-00574 Used 1
Applied Materials AMAT Endura Clear Lid Kit 300mm 0200-03626 New 1
Applied Materials AMAT 300mm PVD 32x .300 Magnet Ring 0040-85322 New 3
Applied Materials AMAT 300mm PVD 32x .300 Magnet Ring 0040-85322 New 1
National Instruments Bus Expander Isolator 181555-01 Rev. A4 Used Working 1
National Instruments Bus Expander Isolator 181555-01 Rev. B4 A50732 Used Working 1
Mitsubishi Freqrol-E500 FR-E520-0.75KN Inverter lot of 2 working 1
Portwell SBC-SBX-VE SBC Single Board Computer PCB 216006980096 R0M0 Used Working 1
AMAT Applied Materials 0130-01244 Smoke & Water Leak Detector PCB Rev.002 used 1
Synergy Microsystems VGM2-E SBC Single Board Computer RGS2-B Used Working 1
Synergy Microsystems VGM2-C SBC Single Board Computer RGS2-B Used Working 1
Synergy Microsystems VGM2-D SBC Single Board Computer RGS2-B Used Working 1
Edwards iNIM Network Interface D37310000 Used Working 2
Hitachi VMPM-02N Control Board PCB Used Working 2
Hitachi IOTC-02N Control Board PCB Used Working 1
Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working 1
Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working 16
MRC A116985 Eclipse High Voltage Assembly A118144 untested lot of 2 as-is 1
Interface IBX-4142 Interface PCB working 1
Sanyo MPX-CD92 9-Channel Multiplexer Used Working 1
Sanyo MPX-CD92 9-Channel Multiplexer Used Working 2
Hitachi Transfer Robot CR-8V Complete Internals Missing Cover Untested AS-IS 1
Nikon KXG76830 Lamp Control Module 4S008-035 working 1
Sti MC4216E-1-AC1-U-10X-10R-ESD Light Curtain Controller Used Working 1
CKD FGL11-X0029 Leak Break Valve lot of 8 working 1
Kokusai Vertron Exhaust Controller CX1204 Used Working 4
Edwards D37420000 Local Control Module iTIM E73+A1+T1 Used Working 1
Leybold 306396-2002-R System Controller working 1
Oriel 60200 Recirculating Cooler working 1
Oriel 7072 Detection System working 1
Fairchild Analog Controller 97750537 Rev. 4 Used Working 1
Tektronix Universal Digitizing Counter 73A-541 Used Working 1
Leybold TM21 Vacuum Gauge Controller Thermovac Working 2
Fuji Electric Inverter Drive FVR008K7S-2 Used Working 1
Leybold Vacuum Pump Control Module 72142056 72142057 Used Working 2
Tanaka Engineering Works Regulator 31WVU Lot of 8 Used Working 1
Tanaka Engineering Works Regulator 31WVU Lot of 8 Used Working 1
Applied Materials AMAT Thermal Lid 300mm 0041-24878 New 2
Applied Materials AMAT Wafer Calibration Tool 4mm 0270-03972 New 1
SEIKO Precision VP-4500 Thermal Video Printer working 1
AMAT Applied Materials 0010-15413 Universal Lid Lift Assembly new 1
TEL Clean Track Adapter Bottle CT5010-304265-11 Lot of 5 New 1
Thermocoax Hot Plate HA04-0784022 Used AS-IS 2
SMC Pneumatic Cylinder With Shaft US13394 Used Working 1
Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working 1
Pentagon Tech Shield Assembly API-1123 New 1
SVG 90S Sensor Board Assembly 99-80207-02 Rev. E 99-80315-01 Used Working 3
Zellweger Analytics Combustible Gas Sensor 00705-A-1733 Lot of 5 New 6
Zellweger Analytics Combustible Gas Sensor 00705-A-1733 Lot of 5 New 2
AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk Rev.003 new 2
AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New 1
AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New 1
CTA-AN/620460155A2N Nippon Puretec Clealant Filter New 2
CTA-AN/620460155A2N Nippon Puretec Clealant Filter New 1
AMAT Applied Materials SIP TTN 300mm Adapter Kit 0240-62572 New 1
Nippon Puretec CTA-AN/620360155A2N Cleanant Filter TEL 2924-000017-11 New 1
Nippon Puretec CTA-AN/620360155A2N Cleanant Filter TEL 2924-000017-11 New 2
AMAT Applied Materials 0040-85691 Shutter Garage Assembly Rev.001 working 1
AMAT Applied Materials 0040-85691 Shutter Garage Assembly Rev.001 working 1
AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly new 1
AMAT Applied Materials 0240-47946 300mm Target Locking Device Retrofit Kit new 1
Applied Materials AMAT Shutter Blade BESC 300mm 0040-06524 Rev. 005 Used Working 1
Hitachi M-712E 200mm Wafer Prealigner working 1
Hitachi M-712E 200mm Wafer Prealigner working 2
AMAT Applied Materials 0021-53986 Lower Shield new 1
Kokusai KBC CPU-6 PCB D1E01133 Used Working 1
Kokusai APC A/2 PCB D1E01142B Used Working 1
MRC A121214 Eclipse Star High Voltage Source Rev. D Used Working 2
Gasonics 90-2609 Display Decoder PCB Rev. A Used Working 1
Gasonics Controller Board 90-2607 Rev. F Used Working 1
MECS Corp. OF101 Robot Controller Used Working 2
CKD SMC Valve Sensor Assembly CHV-8 VX3344K Lot of 9 Used Working 1
IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used 3
IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used 28
SMC Quick Change Filter FQ1011N-10-R013N-B Used Working 1
Keyence HC-50 Control Module working 2
Keyence HC-50 Control Module working 4
Keyence Photoelectric Sensor FS-L71 Lot of 2 Used Working 1
HP Hewlett-Packard A1474-66511 Motherboard PCB B-0103 B-0102 Used Working 2
Applied Materials AMAT 75' DC Cable 0150-13757 New 1
TEL Tokyo Electron 3D81-000071-VI PF-DB LM CONT PCB Board 3D80-000701-V1 Used 1
TEL Tokyo Electron 3D81-000099-V1 PCB TYB622-1/GAS2 Board Used Working 1
MagneTek Fast UV Inhibitor PCB 1005127310 Rev. P1L Used Working 1
MagneTek 1004778800 Fast UV Detector PCB Rev.C working 1
MagneTek 1005096710A Digital Phase Lock Control PCB Rev.N/C working 1
Nerlite MVi-26v Vision Imager 005100 Rev.A working 1
M & E TK-001 Control Data Pad Used Working 1
A-B Allen-Bradley SLC500 1746-A10 Power Supply Used Working 1
Siemens Simatic DC Power Supply 505-6660 Used Working 1
Siemens 8Ch Input Analog Card 505-6108A Used Working 1
Siemens 4 Channel Analog Output 505-6204 Used Working 1
Siemens Relay Output PCB 505-4908 Lot of 2 Used Working 1
Semprex Motor Controller 17-2358.20 Used Working 1
Semprex Motor Controller 17-2358.20 Used Working 1
Nikon Power PCB IU-PWR2-X4P4 4S008-260 Used Working 1
Socapel 024.7002.A Servo Drive Control PCB Used Working 1
Socapel 024.7040 Servo Drive EXT PCB Used Working 1
Socapel Servo Drive Main Board 024.7036.A Used Working 1
Novellus Over Ash Pedestal Assembly 02-318371-00 Rev. B Used Working 1
Novellus Over Ash Pedestal Assembly 02-318371-00 Rev. B Used Working 1
Komatsu ABCBA00090 Temperature Controller AIC-7-12-UC Used Working 1
KLA-Tencor 0031663-000 Measurement Head working 1
Dainippon eFLOW SD16L-24SH E Resistivity Meter working 1
Dainippon eFLOW SD16L-24SH E Resistivity Meter working 1
SVG A1900 P.O. Isolator 859-0564-002-D working 1
SVG A1300 Power I/O Module 859-0950-008-C working 1
Mitsubishi PLC Assembly Q312B QJ61BT11N Used Working 1
SVG A1900 P.O. Isolator 859-0564-002-F working 1
Hauser Screw Controller 0200-M COMPAX-M Used Working 2
National Instruments GPIB-SCSI Drive 180665-03 Lot of 9 Used Working 1
Digital Electronics GP37W2-BG41-24V Graphic Panel Pro-Face QPM3D200B2P Used 1
Mitsubishi PLC Assembly Base Unit Q35B Q64P QJ61BT11N QX81 Used Working 1
National Instruments Bus Expander Isolator 181555-01 Lot of 12 Used Working 1
Mitsubishi Ethernet I/F Unit QJ71E71-100 Used Working 1
Lexel Laser 00-143-502 Model 85S Laser Controller SVG-859-5163-005 Working 1
Leybold TM21 TM22 Vacuum Gauge Controller Module working 1
Nikon 4S064-630 NSR Series Controller IU-IOP1 Working 1
Edwards Middle Sleeve SCCM P1264 Refurbished 1
Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD M-712E Used Working 2
Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD M-712E Used Working 1
Vicor MP2-5701 Power Supply 3F MegaPAC Used Working 5
Vicor MP2-5701 Power Supply 3F MegaPAC Used Working 1
Power Ten Inc. 3300D-6010 DC Power Supply 60VDC 10A working 1
Vicor MP3-5804 Power Supply 3F MegaPAC Used Working 6
Vicor MP3-5804 Power Supply 3F MegaPAC Used Working 1
Power Ten 3300D-4025 DC Power Supply Used Working 2
Power Ten 3350D-2030 DC Power Supply 20VDC 30A working 2
Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Used Tested Working 1
Regal Flow Sensor FS-10 Lot of 10 Used Working 1
Tohoku Ricoh Main PCB 7D000340 Used Working 1
Westcor WE-100-FE-SCHUM DC Power Supply Rev.A working 3
TDK Control Transceiver Board 78Q2120-64T Used Working 1
Tohoku Ricoh Driver Board 7D000360A Used Working 1
National Instruments GPIB-SCSI-A Controller 181340D-01 Lot of 4 Used Working 1
AX Corporation Test Module P5005 CUF005 A/V005 P/T005 Used Working 2
TDK DC Power Supply RGW48-32R Used Working 2
Heiland Electronic 301-341.225-000 SP3 Spectralphotometer as-is 1
Nikon 4S008-107 AV2-I/FX4 PCB working 1
Nikon 4S013-382 STGPWTX4A Replay PCB working 2
Nikon BLI/FX4 PCB 4S013-362 Used Working 1
Nikon AV1-I/FX4 PCB 4S008-106 Used Working 1
Ultrapointe 001002T A-Stop Control Lon Motor Driver PCB 00045 CRS-1010 Used 2
STEC SV-P1102 MFC Mass Flow Meter lot of 7 untested as-is 2
Nikon LC-MTR-X4 4S018-726-ABCDEFGHI PCB working 2
STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used 4
ASML Shutter Control PCB 4022.437.3013 Used Working 1
Nikon REX-DRV2 PCB 4S018-912 Used Working 2
Nikon 4S018-928 COM-CTRL PCB working 1
Rudolph Technologies 20702A Lock-In Amplifier PCB working 1
KLA-Tencor CRS1010 Filter Wheel Drv. PCB 000674T 23
Nikon ALCP-MTHX3 Control PCB 4S018-837 Used Working 1
Ultrapointe 000327 Laser Power Controller PCB Used Working 2
Nikon 4S013-408 STGSTCRMEPX4 Connector PCB working 2
Nikon OPDMTHX4 4S018-715- Connector PCB working 2
Agilent Z4206A I/O Card Z4206-68004-4234-55 Used Working 1
Agilent PC RPIU Board Z4401A Used Working 1
Agilent Z4207A NC1 Interface Board Z4207-60011-4307-55-200421-00116 working 1
Ultrapointe 000675T Lon Motor Driver Board PCB Rev. A KLA-Tencor CRS-1010S Used 4
Agilent Z4207A NC2 Control Board Z4207-60012-4307-55-200430-00123 working 1
Agilent Z4207A NC3 Control Board Z4207-60013-4307-55-200423-00159 working 1
Agilent Z4207 NC4 Control Board Z4207-60013-4307-55-200423-00151 working 1
Agilent Z4207A NC5 Control Board Z4207-60013-4307-55-200423-00157 working 1
AMAT Applied Materials LCAT200P Cassette Alignment Tool Controller Used Working 1
Nikon 4S013-369- Interface PCB STGSTCAFX4 Used Working 1
Aerotech Unidex 100 Multitasking Motion Controller ES13713-3 lot of 2 as-is 1
Asyst 14939-001 Robot End Effector Rev. 2 New Surplus 1
JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working 2
Particle Measuring Systems Main Board 1000001391 Rev. C Used Working 1
Particle Measuring Systems 1000003263 Particle Counter Module 1000001588 Spare 1
Opti-Probe 2600B Data Translation DT2827 I/O Card Rev.AA 05214 working 1
Mitsubishi PLC Intelligent Unit QD51 Used Working 5
Verteq 3156023-000C Amplifier Unit AE Advanced Energy Used Working 2
Nikon AF-PSDX22-SUB NSR Scanner PCB 4S007-994 Lot of 9 Used Working 1
Mitsubishi PLC Positioning Unit QD75M4 Used Working 5
Mitsubishi PLC Positioning Unit QD75D4 Used Working 3
Mitsubishi PLC Input/Output Unit QH42P Lot of 6 Used Working 1
Nikon Case I/F PCB 4S018-646 Used Working 4
Nikon 4S013-365 STGSTCSPX4 Backplane PCB working 2
KLA-Tencor 373664 SP1 Distribution PCB Rev.BB working 1
Nikon 4S013-395 STGSTCWYWXX4A Backplane PCB working 2
Nikon 4S007-901 X2A-STGP/H PCB working 2
Nikon 4S013-366 STGSTCMSCRFX4 Backplane PCB working 2
AJS Controls CLN-SYS-BR550-E System Board 879-8071-002-E Used Working 1
Mitsubishi MR-J2-20A-S12 AC Servo Drive Used Working 7
DNS Electronics SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A SCREEN Working 7
Verteq Frequency Generator M-002-05 Used Working 1
Schlumberger 97911002 Clock Timer Board 40911002-1 working 1
Novellus FLVG CYL Replace Upgrade Kit 093033-797-21 Used Working 1
CFM Technologies 32x32 Interlock Board C22111-01 Used Working 2
Ultrapointe 001002 A-Stop Control Lon Motor Driver PCB 00045 CRS1010 Used Working 5
RECIF Technologies Sorter Computer IDLW8-A9607 Used Working 1
Crown Yutaka Eng. Corp. ERSB-3019-WX Regulator Valve lot of 8 working 1
CFM Technologies Power Box A30-00210 Used Working 2
Crown Regulator Valve ERSB-2019-WX Lot of 15 Used Working 1
ABB ACH401603035 AC Drive working 1
Robitech Valve Module 990-9131 681-0181-009 Lot of 5 Used Working 1
Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working 1
V-Tex Slit Valve Unicam Type Untested AS-IS 1
Agilent Diagnostic Card 4022.470.06582 Used Working 1
Parker Opti-Probe Control Board 71-006085-02 Rev. A Used Working 1
Kenwood Regulated Power Supply PSR20-18MY3 Lot of 2 Used Working 1
Nikon Lens Module c Used Working 1
Bede Scientific 60-013041-000 Sensor Interface FAB300 Working 2
Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 lot of 2 as-is 1
Power Designs Inc. TW5005W Twin Power Supply as-is 1
SVG 99-39988-01 90S Sensor Board Assembly Rev.K 99-80207-02 working 99-80315-01 1
J.C. Schumacher 100 Temperature Controller 1443-0100 working 1
Motoyama 2 Way 1/4-inch VCR F/F Manual Valve SUSF316L lot of 12 working 1
UCV 1/4" VCR Valve Manual 3-Way Valve 1/4"F/F/M Lot of 10 Used Working 1
Granville-Phillips 20347057 Stabil-Ion Gauge Module Rev. 00 Working 1
Granville-Phillips 20347057 Stabil-Ion Gauge Module Rev. 00 Working 2
Granville-Phillips 20347057 Stabil-Ion Gauge Module Rev. 00 Working 1
Pacific Scientific Brushless Servomotor R33GSNC-HS-NS-NV-03 Used Working 1
Semitool 14837H Serial Board working 1
Edwards A52844484 Interface Module TIM x 2 Used Working 1
Keyence PJ-50A Expandable Area Light Curtain Sensor Set PJ-55A Used Working 4
Keyence PJ-50A Expandable Area Light Curtain Sensor Set PJ-55A Used Working 2
Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working 16
Fujikin N.C. 041358 Valve lot of 70 as-is 1
Applied Materials AMAT Chamber I/O Display 0130-00525 Rev. 003 Used Working 1
Avio E67920 EPD Fiber Optic Communication Module Used Working 1
Keyence Power Supply Module MS2-H100 MS2-H150 Lot of 2 Used Working 1
Omron E5AX Digital Controller 303-030 for SVG860 1
AMAT Applied Materials 0242-19106 Standard Blankoff Kit New 1
AMAT Applied Materials 0242-19106 Standard Blankoff Kit New 1
Liebert Surge Suppression System ACV480D100RK Used Working 1
DNS Electronics Sensor Module DS-1211-ANALOG(C) PU3-PCB(KG) SCREEN MC-701 Used 1
Kondoh Kohsya DM-007 Sensor Assembly LU-701 working 1
VAT Angle Valve 26324-KA11-1001 62034-KA18-1005 26328-KA11-1002 Lot of 7 Used 1
Hitachi Recorder Plotter Module M-308ATE Used Working 1
Fujikin 1/2" VCR Valve 021369 Lot of 8 Used Working 1
Fujikin Valve Top Works 021367 021369 Lot of 14 Used Working 1
AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm new 1
AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm new 1
ASM Japan K.K. 91310-68038 Slit Valve Seal Kit Lot of 6 Used Working 1
Siemens Schmidbauer Transformer Pair AMAT XR80 1
Tokyo Electron TEL Prealigner Lamp Module Unity 2 Lot of 2 Used Working 1
AMAT Applied Materials 0242-41577 Shutter Kit 300mm New 1
Applied Materials AMAT Vacuum Roughing Line Matrix Kit 0227-05061 New 1
AMAT Applied Materials 0270-03655 300mm Alignment Tool Pin Lift new 2
Applied Materials AMAT Chamber Exhaust Liner 0020-64708 New 1
AMAT Applied Materials 0242-41460 AFEOL Foreline Elbow Assembly Kit New 1
Applied Materials AMAT SIPCU Gas Line Manifold Mainframe to CHM 0040-49827 New 1
Applied Materials AMAT 3/8" OD Backside Gasline 300mm 0050-54972 New 1
AMAT Applied Materials 0242-18644 PVD/PC Chamber Mounting Block Kit New 4
AMAT Applied Materials 0242-18644 PVD/PC Chamber Mounting Block Kit New 1
Applied Materials AMAT Cryo Port Bias Match Bracket 0021-41596 New 1
Applied Materials AMAT SCR CAP SKT HD 6/32x 1/4L Hex 0021-08063 Lot of 24 New 1
AMAT Applied Materials 0242-23140 CL/SL Endura Strain Relief Kit New 1
AMAT Applied Materials 0270-03260 300mm Cooling Plate Lift Fixture new 5
AMAT Applied Materials 0270-04401 Endura 2 Turbo Shimadzu Support new 1
AMAT Applied Materials 0242-10662 ESC Blankoff for CCM Kit new 1
AMAT 0270-05576 300mm SIP Encore Dummy Coil Assembly Tool lot of 7 new 1
AMAT Applied Materials 0242-70282 300mm Vacuum Roughing Line Kit new 1
AMAT Applied Materials 3320-01026 10 CFF OFC Copper Gasket lot of 5 new 1
Applied Materials AMAT CL PVD Source Electrical Bracket 0041-08444 Lot of 2 New 1
Applied Materials AMAT CL PVD Source Electrical Bracket 0041-08444 Lot of 2 New 1
Applied Materials AMAT Encore Feedthrough Insulator Pair 0200-02121 Lot of 2 New 1
Ultrapointe 000678T Solenoid PCB KLA-Tencor CRS1010 working 4
Applied Materials AMAT 422527-P4-PROJ 300mm 32x.300 Magnet 0010-24485 New 1
TDK 4S061-925 DC Power Supply used working 1
Applied Materials AMAT Metal 300mm ALD Gas Feed Thru SST HVCR 0041-32201 New 1
AMAT Applied Materials 0242-26906 M/F NO Chamber Position Kit new 2
AMAT Applied Materials 0200-08583 300mm PCII 2.0 Quartz Long Bushings lot of 18 1
Ultrapointe 000675T Lon Motor Driver Board PCB Rev. A KLA-Tencor CRS-1010S Used 2
AMAT Applied Materials 0242-20638 300mm PVD Water Hardline Kit new 1
AMAT Applied Materials Polyline Harness 0140-13753 0140-13754 Lot of 2 New 1
AMAT Applied Materials 0050-88513 Gas Lines 0050-88929 lot of 5 0050-88928 new 1
Applied Materials AMAT XP Robot ATM Inner Clamp 0040-83305 New 1
Applied Materials AMAT XP Robot ATM Inner Clamp 0040-83305 New 7
AMAT 0620-01698 15ft Network Cable Assembly w/ Modular Plug lot of 2 new 1
AMAT Applied Materials 0242-22009 Endura 300CL M/F IO LCF Cable Kit New 1
Bison 507-01-106A DC Gearmotor 32-999-2904-003 lot of 2 working 4
AMAT Applied Materials 0242-20799 Endura AMP P5 Bracket Support Kit New 2
Omron S82J-6124 DC Power Supply 24VDC 0.5A lot of 22 working 1
AMAT Applied Materials 0242-26957 300mm RPG Chamber Foreline Integration Kit New 1
AMAT Applied Materials 0050-48273 Chamber to Turbo Vacuum Line new 1
AMAT Applied Materials 0242-70297 Standard Insert Kit New Surplus 1
AMAT Applied Materials 0242-70297 Standard Insert Kit New Surplus 4
Applied Materials AMAT CHM Return Line 300mm 0050-96206 New 1
AMAT Applied Materials 0240-14676 Nitrogen Gasline 0050-60296 300mm PVD Kit new 1
AMAT Applied Materials 0240-14675 Argon Gasline 300mm PVD Kit new 1
AMAT Applied Materials 0010-26750 Slit Valve Door new 1
Sanwa Denki Vacuum Switch SVS-1S SVS-1 Lot of 10 Used Working 1
Applied Materials AMAT Gas Line Extender 3/8" OD 300mm 0050-60372 New 1
Applied Materials AMAT Feed Thru Tube Precursor 0021-76671 New 1
Applied Materials AMAT Endura 300mm Floor Exhaust Duct Manifold 0040-78134 New 1
AMAT Applied Materials 0242-18504 300mm PCII Adapter Installation Kit New 2
AMAT Applied Materials 0050-44837 300mm PVD Chamber Vent Gasline new 1
CTI-Cryogenics 8043159G032 Cryopump Pressurized Hose AMAT 3400-01381 New 1
Applied Materials AMAT Non-Seismic Securement Kit for 300mm 0240-52481 New 5
Applied Materials AMAT Eye Bolt for Source Lifting 0270-05404 Lot of 7 New 1
Lam Research 810-17012-002 Heartbeat PCB Rev. 2 Rainbow 4420 Used Working 1
Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used 2
Lam 4420 16 Ch. Heat/Cool PCB 810-17002-001 Rev. B Used Working 3
Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working 20
Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working 6
Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used 2
Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used 1
Lam 4420 Etcher 852-011201-001 Exit Loadlock Rev.G 853-012123-001 Rev. E Used 1
Lam 4420 Shuttle Assembly 853-012550-001-H Used Working 4
Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working 2
Lam 4420 Shuttle Assembly 853-012550-001 Used Working 1
Lam 4420 ADIO-AO PCB 810-17031-3 Rev. I Used Working 1
Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working 1
Force Computers 880-12537-101.A3 PCB Card SYS68K/CPU-6VB Used Working 2
Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working 1
Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working 3
Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working 1
LAM 4420 Etcher 852-017500-003-4 CRT-Keypanel Chassis Assembly 853-017505-001-C 1
Kokusai Vertron Linear Track V-III-A working 1
Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used 2
Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used 2
Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. E Used Working 1
Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. F Used Working 1
Kokusai CX2001 Controller Module Vertron III Used Working 4
Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working 1
Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working 2
Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working 1
Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working 2
Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. B Used Working 1
Lam Research 810-17082-001 16 Channel Heat/Cool PCB Rainbow 4420 Used Working 2
Lam Research 810-17082-001 16 Channel Heat/Cool PCB Rainbow 4420 Used Working 1
Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used 2
Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used 2
Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used 2
Xycom 70113-003 PCB Card 71113A-001 Lam Research Rainbow 4420 Used Working 1
Kokusai Electric CQ1501A (01) Direct Controller Accuron Vertron Used Working 1
Kokusai Electric CQ1501A (01) Direct Controller Accuron Vertron Used Working 1
LAM Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Used 2
LAM Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Used 1
Omron Timer H3Y-4 Lot of 22 Used Working 1
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14 Used Working 1
Myotoku CVR-6-H Pressure Sensor lot of 12 working 1
Kokusai Vertron Controller Unit CX1307 working 1
Kokusai Vertron Controller Unit CX1307 working 3
Lam Research 810-017004-001 Solenoid Interlock Board Rev. F Used Working 1
AMAT Applied Materials 0240-41706 300mm Endura SIP Chamber Prep Kit Lot of 2 New 1
AMAT Applied Materials 0242-34594 DI Cooler H2O FTGS/HOSES Mainframe Kit New 1
Applied Materials AMAT Water Manifold Return Adapter Kit 0050-99362 Lot of 3 New 1
Applied Materials AMAT 300mm Water Manifold Adapter 0050-75274 Lot of 2 New 1
Applied Materials AMAT 300mm XP Degas Chamber Stop Lift 0020-42056 New 1
AMAT Applied Materials 0045-00071 300mm PVD Bellows Heater Clamp Flange lot of 4 1
AMAT Applied Materials 0270-05722 Shield Lifting Nut Adapter lot  of 16 new 1
AMAT 0020-42055 300mm XP Degas Upper & Lower Stop Lift 0020-42056 lot of 4 new 1
Applied Materials AMAT 300mm PCII Ceramic Pin Pedestal 0200-01904 Lot of 6 New 1
AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line New 1
AMAT Applied Materials Motor Cover 0030-00049 Rev. 003 Used Working 3
Applied Materials AMAT Robot Motor Cover 0030-00082 Rev. 004 Used Working 1
Semitool 4 Channel Interface Board 14837G Used Working 1
CKD Exhaust Valve V3010-8-FL302952 Lot of 6 Used Working 1
Valcom RN-760Torr-2VAO(HL)-5-TL Pressure Switch Reseller Lot of 5 Used Working 1
Kokusai Electric D3E01299A Brake PCB Vertron Used Working 1
TEL Tokyo Electron 1D81-000131 PCB Board TYB421-1/INL Used Working 1
TEL Tokyo Electron 1D81-000131 PCB Board TYB421-1/INL Used Working 1
KLA-Tencor 547247 Rev. 00 UI Splitter AIT2 Used Working 1
TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working 7
LAM 4420 Solenoid Tray Assembly Missing PCB 853-013610-501-A-LEAN Used Working 2
TEL Tokyo Electron 3208-000091-11 PCB AIR/HF Interconnect 3281-000091-11 Used 1
Kokusai CX1209 Cassette Loader Control Panel Vertron Used Working 1
Lam 4420 Solenoid Tray Assembly Missing PCB 853-013610-001-E-LEAN Used Working 2
LAM 4420 Solenoid Tray Assembly Missing PCB 853-013610-001-E-4050 Used Working 1
LAM Research 4420 Outer Gate Assembly 12350-2-D-00622135 Used Working 1
LAM 4420 Solenoid Tray Assembly Missing PCB 853-013610-001-D Used Working 1
Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is 1
LAM Research 13610-1-B-5149 Solenoid Tray Assembly missing PCB LAM 4420 used 1
TEL Tokyo Electron 1D81-000129 Control PCB TYB222-1/SW Unity II Used Working 9
Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher Used 1
Lam Research 853-012350-002-D Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working 1
Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working 2
Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working 1
LAM Research 853-140013-001-1-230D Outer Gate Valve Assembly 4420 Used Working 1
TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working 2
Lam Research 853-012350-002-F-230D Outer Gate Assembly 4420 Etcher Used Working 1
VAT 61144-PH52-AAM1 Throttle Valve Used Working 1
VAT 61144-PH52-AAM1 Throttle Valve Used Working 1
Granville-Phillips 330001 Ionization Gauge Controller Model 330 Used Working 9
Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working 3
Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working 2
Microtech Input Controller N100 Used Working 1
NSK EMB014CF1-05 Servo Driver Used Working 1
Lam Research 853-012350-002-LL FDR Outer Gate Assembly 4420 Etcher Used Working 1
Lam Research 4420 853-017620-001-A Tri-Convectron Interface Assembly working 3
Lam Research 853-017620-001-A-C222 Tri-Convectron Interface Assembly 4420 Used 1
Lam Research 853-012350-002--230D Outer Gate Assembly 4420 Etcher Used Working 1
Lam 4420 Tri-Convectron Interface Assembly 17620-1-A-4318 Used Working 1
Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working 1
Oriental Motor 4054-LD4 5-Phase Servo Driver Lot of 2 Used Working 3
Panasonic ADKB400BPFADA AC Servo Drive Used Working 1
LAM Research 715-130080-008 200mm End Effector Rev. E Used Working 1
Yaskawa DR1-08AC Servopack Servo Drive Used Working 2
Yaskawa DR1-08AC Servopack Servo Drive Used Working 1
Yaskawa DR1-08AC Servopack Servo Drive Used Working 6
AP Tech Lam 4420 Valve AP1001S 2PW Lot of 8 Used Working 1
Ebara ET300W Turbo-Molecular Pump Used Tested Working 1
Ebara ET300W Turbo-Molecular Pump Used Tested Working 6
TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working 18
Vacuum General CMLA-21 Capacitance Diaphragm Gauge 853-017643-003-D-C222 Used 1
VAT 62034-KA18-1005 Angle Valve 26324-KA11-1001 lot of 6 working 1
Lam Research 4420 Convectron Tube Interface 810-017007-001 Rev. B Lot of 2 Used 1
Panasonic ADKB400BPFADH Servo Drive Used Working 1
MKS Instruments 100997144 Isolation Valve System 839-13521-1-1956 LAM 4420 Used 9
MKS Instruments 839-13521-1 Isolation Angle Valve Rev. C Working 3
MKS Instruments 839-13521-1 Isolation Angle Valve Rev. C Working 6
Cosel DC Power Supply P50E-15 Lot of 6 Used Working 1
Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. D 4420 Lot of 7 Used 2
Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. C 4420 Lot of 6 Used 1
Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. C 4420 Lot of 6 Used 2
Anafaze LAM Research 4420 Control Assembly 778-091827-011 (working, used) 1
Sanyo A7-1-20621-1B Z/Theta Motor Drive PCB TEL Tokyo Electron P-8 Working 1
Sanyo Denki PMM-BD-5725-4 5-Phase Drive Pentasyn Used Working 2
SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working 5
SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working 1
Kokusai Vertron Exhaust Monitor Module working 8
Kokusai Vertron Exhaust Monitor Module working 1
KLA Instruments 710-805314-00 BCB IIb PCB TEL Tokyo Electron P-8 Used Working 1
TEL Tokyo Electron 3281-000138-12 PCB-CTROL Board PCB P-8 FA1006K501A Used 2
Panasonic GP-MF212 Camera Controller Adapter Used Working 1
Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working 2
Nikon WD Wafer Stage Robot NSR-S204B Step-and-Repeat Scanning System Used 1
Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working 1
Omron 3G8B2-NI001 Interface PCB Card TEL Tokyo Electron 3286-002066-11 P8 Used 1
Omron TEL P8 Interface Board NO000 3286-002065-11 Used Working 1
TEL Tokyo Electron 3281-000043-1A PCB Display Driver Card P-8 Used Working 3
MKS Instruments 152-1063P Angle Valve Lot of 2 Used Working 1
Lam Research 11200-3-E-00182139 Harmonic Arm Load Lock 715-012139-001 Used 1
HPS 839-13510-1 Pneumatic Right Angle Vacuum Valve 152-0080P-S01 Used Working 1
KLA-Tencor CRS1010 TRT/OPT PCB 001009T working 6
Kokusai Vertron Power Relay Box T1DD1-52447 1
TEL P-8 Tokyo Electron 200mm Wafer Indexer working 1
MKS Spectra Mass Spectrometer Filament LM501-01661-2D1 AS-IS 1
Kokusai Vertron Power Module CX1103CH working 2
Kokusai Vertron Power Module CX1103CH working 1
Nikon NSR S306C ALG-NAL PCB 4S008-043-A Used Working 1
Nikon NSR S307E AD-LSO1 Board 4S019-238 Used Working 2
Nikon NSR S306C RST-ADPT PCB 4S019-083 Used Working 1
Nikon NSR S307E ASML Blue Wave Systems 4022-470-6181 BDV44 PCB 4022-471-5852 use 1
Heidenhain 370 666-S2 Fiber Optic VME PCB Card HIB 186 ASML 4022.472.12941 Used 5
ASML 4022.471.6909 PSU Monitor Board PCB VME Card 4022.471.69101 Used Working 2
ASML 4022-471-5658 VME Control Board working 1
Xyplex 16 Port Terminal Server Card 500-5734 Used Working 1
Applied Materials AMAT RF Match Assembly 0010-35937 Used Working 2
Nikon 4S015-164 Control Board NK-C441-1 Used Working 5
KLA-Tencor CRS1010 Solenoid PCB 000678 working 2
Nikon NSR S307E DSP-INF PCB 4S013-497 Used Working 1
Advanet A6PCI 7500 CPU PCB Nikon NSR S307E Used Working 1
Nikon 4S018-888-1 4S0008-096-1 4S008-887-1 PCB Board 1
Nikon 4S018-885 CPCI IP PCB Board Working NSR S307E 1
Nikon 4S001-060 Power Supply PW-NA PCB Board Working 1
Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 4
Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 1
Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 1
KLA-Tencor TRT/OPT Control PCB 001009 working 1
Horner Electronic CPU Module HE610DPC164A Lot of 2 Used Working 1
Horner Electronic Input Module HE610THM200F Used Working 1
Nikon 4S001-082 Power Module PCB NSR S307E Used Working 6
RD-023MS Rorze RE120-002-001 Indexer Elevator Assembly RC-233 Used Working 2
Central Data 16 Port Terminal Server SCSI Used Working 1
Edwards D37215000 Vacuum Flash Module Used Working 1
Black Box ServSwitch Duo-R2 Switch KV6104A-R2 Used Working 1
Teradyne 420-431-01 Universal Manipulator Support Kit Used Working 1
Raritan KVM Switch MCC4 Lot of 3 New 1
Nikon NSR 4S019-080 IU-PWR2-X4P2 Power Board working 1
V-Tex 172B336X48DCPR03 Slit Valve Rollcam Missing Door As-Is 1
RECIF Technologies Operator Panel IDLW8 Used Working 1
Omron Z4LC-C28 Parallel Beam Line Sensor Working 4
Dell OptiPlex GX110 Lucas Labs CAT Computer working 1
Lucas Labs Reference Module OVDS 7000 Used Working 1
Applied Materials AMAT Circuit Breaker 0680-01826 0680-02131 Lot of 2 New 1
PRI Stocker Carriage Arm Assembly BM17615 AD17615 Used Working 2
Kokusai Vertron D1E01294A CPU Board KBC CPU9/A1 Working 1
Kokusai Vertron D1E01294A CPU Board KBC CPU9/A1 Working 1
MRC Material Research A118030 Cyropump Temperature Monitor Cracked Window Used 1
Oriental Motor ASD13A-A Servo Drive Used Working 3
Omron G9S-301 Safety Relay Unit Used Working 4
Omron G9S-301 Safety Relay Unit Used Working 4
Kokusai Vertron SIOB / A2 D1E013008 Control PCB working 1
Digital Power Unit UF-PW002 Used Working 1
Lam Research 4420 Control Module 853-017427-001-C-C136 working 1
Johnson Controls Digital Reset Controller C500ECC-1 Used Working 3
Cincinnati Electrosystems Receiver Controller 9650-128 Used Working 1
Kyowa WGA-100A Instrumentation Amplifier Used Working 1
Kyowa WGA-100A Instrumentation Amplifier Used Working 2
Kokusai Vertron D1E01291 Interface PCB DIOA A/0 Working 1
Kokusai Vertron D1E01291 Interface PCB DIOA A/0 Working 1
Nikon NSR Camera Assembly 4S586-539AN Used Working 1
Cutler-Hammer Photoelectric Sensor 14102AQD07 Used Working 2
Lam Research 4420 4 Pin Lifter Filter 853-017435-001-A-1996 Used Working 1
Lam 4420 Weldment Standoff Gas Feed 839-011516-001-B Lot 713-011517-001 1
Lam 4420 Temperature Sensor Board 810-17006-1 Rev.B working 1
Kokusai Vertron Driver PCB PLMDRV4 working 4
Lam 4420 Upper Seal Plate Assembly 853-020936-002-C-CHFDER working 1
LAM Research 852-011060-503-D-CHMAIN Upper Chamber Gap Housing Assembly Used 1
Lam 4420 Lower End Reaction Chamber Plate 715-011035-001-D working 715-11059-1 1
AMAT Applied Materials 0242-31591 DI Cooler Fittings Hose Kit Lot of 5 New 1
Accent Optical Technologies Photo Detector Stand PMA51100 working 4
Vickers Instruments Quaestor Q7 Linear Arm PCB Y7602000 working 1
Bio-Rad MC/2251 Wafer Sensor Board Quaestor Q7 Used Working 1
Bio-Rad PER6B00C P&P Vacuum Sensor PCB Bio-Rad Quaestor Used Working 2
Vickers Instruments Quaestor Q7 Pick Place Solenoid PCB MC/2529 PER6B00C working 1
Bio-Rad Y760/000 Wafer Aligner Module Quaestor Q7 Used Working 2
Accent Optical Technologies Handler Module PMM40000 MC/2488 working 1
Bio-Rad PMM4000 Handler Module Quaestor Q7 Used Working 1
Cohu 6700 Series CCD Video Camera Quaestor Q7 Used Working 4
Oriental Motor SMK5160A-AA Synchronous Motor Vexta Used Working 1
Symbol Technologies LS40041-I100 Barcode Scanner lot of 9 working 1
Access Address Decoding Counter Timer Card ADA-8 Used Working 1
Prolog PCB 0400065 Used Working 4
Noran Instruments Active Scan Interface 700P125849 Used Working 1
Marshall 20III Video Camera C2030253 working 2
Watlow Anafaze TLM-8 Temperature Monitor TLME310IIIIIIII working 88-21500-211 1
TDK RDH24-6R0 DC Power Supply Used Working 2
Innova Electronics STS-4015-PT 15-inch Flat Panel Monitor STS-4015-P-AM working 1
Hitachi BBS319-1 PCB working 2
Alcatel P0194P1 PCB P0194E1 working 1
Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working 2
Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working 1
Bio-Rad 8805EC923 Quad Ram Board Computer Recognition 10365  Quaestor Q7 Working 1
Computer Recognition 1520-1000 LCS Board working BioRad 8938BF294 Quaestor Q7 1
Computer Recognition 1520-1000 LCS Board working BioRad 8938BF294 Quaestor Q7 1
Computer Recognition Systems 8937-0000 Gemini PC-3 8949BT337 Quaestor Q7 Used 1
Computer Recognition Systems 8937-0000 Gemini PC-3 8949BT337 Quaestor Q7 Used 1
Computer Recognition Systems 8815DL589 Image Bus Controller VME PCB Card Used 1
Computer Recognition Systems 8843CR475 Edge Detector PCB Rev. G Quaestor Q7 Used 1
SMC INR-244-216T Thermo-Con Power Supply RCP INR-244-244T-670 Used Working 12
SMC INR-244-216T Thermo-Con Power Supply RCP INR-244-244T-670 Used Working 6
Edwards U20000347 im Interface Module Used Working 1
Edwards U20000346 im Interface Module Used Working 1
Edwards U20000346 im Interface Module Used Working 1
Edwards A52844524 iQ Interface Module working 1
Edwards A52844500 iQ Interface Module Used Working 1
Edwards A52844504 im Interface Module Used Working 4
CFM Technologies 22024-02 Relay Board MDX24 working 6
CFM 13 798 Vessel Module Power Valve Card Festo IC-8M3/2-0,9-24 PH Used Working 15
Controlotron 995T CDU Teach Pendant working 1
CFM Technologies IPA Vapor Level ASM 363-089-01 Rev. F Used Working 1
CFM Technologies IPA Vapor Level ASM 363-089-01 Rev. F Used Working 1
View Engineering 10000-301 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used 1
Schlumberger 97911088 Bridge Connector Board Working 1
View Engineering 2870030-501 Interconnect Sub-Panel PCB 8100 Lot of 3 Used 1
View Engineering 2860240-511 DCO/MCU PCB Board Used Working 1
View Engineering 2860070-519 DSP/Combiner PCB Board Used Working 1
AMAT Applied Materials 3700-99008 XR80 Implanter Motor Assembly Used Working 3
Acopian A75HT560 Regulated Power Supply MRC A111450 Eclipse Star Used Working 2
Bio-Rad Y7603203 Elevator Module KLH A-10 200mm Quaestor Q7 Used 4
A-B Allen-Bradley Contactor lot of 2 100-A75N*3 working 600VAC 1
SMC 2TP-2B575 Thermo-Con Chiller Control Panel PCB INR-244-244T-670 Used Working 2
Siemens ED43B015 Circuit Breaker Lot 15amp 480VAC working 1
MKS Equipment Leakage Current Interrupter 43PWRCORD04 Lot of 6 working 1
Siemens ED43B020 Circuit Breaker Lot of 4 20amp 480VAC working 1
Nikon Optistation 3 Microscope Lens Assembly Lot working 1
Nikon Asyst Optistation 3 Indexer 03365-005 working 200mm 1
Etec Systems Vacuum Shipping Container AB05-1002 Used Working 1
Lam 4420 Etcher 852-017500-001-D CRT Keypanel Chassis minor damage working 1
Lam 4420 Etcher 852-017500-001-D CRT Keypanel Chassis minor damage working 1
Brooks Genus InCooler Cooling Station 001-4700-12 1
Acopian TD15-450M Dual Tracking Power Supply Used Working 1
Semitool 14830B STD Bit Input Assembly Board working 3
Semitool 14831A STD Bit Output Assembly Board working 2
Semitool 16753A Motor Interface Board PCB Used Working 1
GE General Electric IC693MDL753F Output Module working 1
Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working 1
Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working 1
Motorola MVME 340B Status Signal PCB 01-W3787B Used Working 3
Kniel System-Electronic CP 15.7,5 15V Power Supply Card ASML 4022.436.86091 Used 2
Kniel System-Electronic CP 24.1 24V Power Supply Card ASML 4022.436.79311 Used 1
Kniel System-Electronic CP 5.32/VME 5V Power Supply ASML 4022.436.23221 Used 1
Parker Compumotor C Series 25550 Servo Drive working 3
VAT 03109-NA24-AJX1 Slit Valve Novellus 02-115278-00 Concept II Altus working 6
Parker CPDC4-10456 Compumotor DC4 Power Supply Tested Working 2
ASML 4022-436-7114 VME Control Board working 5
ASML 4022-437-2993 8-Channel Fiber Optics Board working 4
ASML 4022-471-5284 Control Board working 1
Hinds Instruments 030-2001-050 Dual PEM Control Board ASML 4022-436-87411 1
Lambda LRS-50-15 AC-DC Switching Power Supply Lot of 14 Used Tested Working 1
Lambda EWS100-5 DC Power Supply lot of 15 tested working 1
Lambda EWS300P-12 DC Power Supply lot of 3 working 3
Lambda LRS 54M-24 DC Regulated Power Supply Used Working 3
Lambda LRS 54M-12 DC Regulated Power Supply Used Working 15
AMAT Cryo Pump Auto N2 Purge 0090-91229 working 10
Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus 1
Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus 1
Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus 2
Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus 1
AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev.A working 1
MKS Instruments AS00213-03 Sensor Integration Platform new 1
MKS Instruments TWSPK001 Sensor Integration Platform new 1
Daihen MOP-10B1-V Tuning Control Unit Box CMC-10 working 3D80-000280-V1 1
Wafer Arm Controller 2
TEL Tokyo Electron 3D10-302759-V1 ESC Protection Cover new 1
AMAT Applied Materials 0225-34794 Gas Distribution Sputter Plate new 1
EXT 70H Edwards B722-23-000 Turbomolecular Pump Tested Locked Rotor As-Is 4
Particle Measuring Systems MiniNet 310 Mini-environment Monitor new 1
EXT 70H Edwards B722-23-000 Turbomolecular Pump Tested Locked Rotor As-Is 3
Lucas Labs OVDS-7010 Reference Module ILVP-1001-NW lot of 3 as-is 1
AMAT Applied Materials 0041-00186 300mm Gas Ring new 2
Datalux LMV10B 10-inch Flat Panel Display Used Working 1
Edwards 6XPDM Pump Module Switch Box working 1
Edwards 6XPDM Pump Module Switch Box working 1
Motorola STLN6491DA Single Board Computer 91614-01-A working 1
Motorola STLN6491DA Single Board Computer 91614-02-A working 1
Motorola STLN6398GC Single Board Computer working 1
Computer Recognition Systems TRK2/5 VME Control Rack Bio-Rad Used Working 10
Kenwood PSR-600 Controller working 2
Centennial Equipment CE-3401-00 3/4-inch Rotary Valve Kit lot of 20 new 1
Cosel MMC100U-2 DC Power Supply lot of 11 tested working 1
Edwards U20000924 iNIM Network Interface D37310000 Used Working 35
Edwards U20000920 iNIM Network Interface D37310000 Used Working 5
Edwards U20000920 iNIM Network Interface D37310000 Used Working 10
Edwards U20001107 Eason Control Box 6 Vacuum Pump Module Rev. B Used Working 2
Edwards U20001107 Eason Control Box 6 Vacuum Pump Module Rev. B Used Working 8
Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3-1904 working 2
Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3 working 2
Olympus BH3-5NR3-M Microscope Assembly BH2-HLSH KLA-Tencor CRS1010S working 1
TEL Tokyo Electron P-8 103-4507-7046 Dual Arm Transfer Assembly working 1
Kyosan DC High Voltage P/S Power Supply TEL Tokyo Electron Unity II Used Working 3
Kyosan DC High Voltage P/S Power Supply TEL Tokyo Electron Unity II Used Working 2
RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used As-Is 1
STLDBCAL2 499000362 Aux Load Board PCB 799080017 working 799000362 1
Asyst 6331/27273 Handler working 2
Omron R88M-H1K130 AC Servo Motor & Seisa BL3-20A-11TAHDK1 Gear Drive Lam 4420 1
Omron R88M-H1K130 AC Servo Motor & Seisa BL3-20A-11TAHDK1 Gear Drive Lam 4420 1
Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used 1
Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used 4
TEL Tokyo Electron 2981-600328-11 DC Power Branch Board 2908-600328-11 Used 31
TEL Tokyo Electron 2981-600328-11 DC Power Branch Board 2908-600328-11 Used 4
Oriental Motor UDK5114NW2 5-Phase Servo Driver Vexta Used Working 4
ASML Image Sensor Board 4022.437.0576 working 1
Therma-Wave 18-009252 Sensor Module Rev. B Opti-Probe 2600B Used Working 1
Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Rev. C1 Opti-Probe 2600B Used 1
Therma-Wave 14-009631 Digital Interface PCB Rev. G Opti-Probe 2600B Used Working 1
View Engineering 2860294-501 Power Module Assembly 450-0077-004 Damaged Used 1
Lam Research 810-017004-001 Solenoid Interlock Board Rev. E Used Working 1
100P Leybold 13885 Dry Vacuum Pump DRYVAC2 Used Tested Working 1
Neslab Instruments 348103030100 Refrigerated Recirculator Coolflow CFT-33 As-Is 1
Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. D Used Working 7
Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working 8
Edwards NRY0190412 6xPDT Switch Box for iGX Vacuum Pumps Used Working 23
Motorola STHX4006DA 2.3GHz WiMAX WAP Access Point  XCVR New 2
Thermalogic Corp. Power Board K121-180 REV.C working 718-562 1
Hiranuma Sangyo CADS Drain Wash Controller COM-555P working 1
Edwards Interface Module NGE587000 Used Working 2
OLYMPUS 218999 MD Plan 150 0.95 f=180 objective 26mm Thread Diameter 1
NIKON 49441 Plan 2 / .05 Objective 3920-01553 New 1
Omron PLC Module LK201 NC112 OC224 ID212 used working 1
SCHLUMBERGER TECHNOLOGIES 40151223 SSC Board Used Working 1
Leybold WS1001 Vacuum Pump Kit New 1
Edwards D37215000 Vacuum Flash Module Used Working 3
Edwards A53231000 Booster Connection Kit iQDP40 iQMB250F New 5
AMAT 0020-26723 ball transfer load distribution plate new, sealed 3
Hitachi DI0-0IN I/O PCB Used Working 2
Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E 1
Hitachi AI0-02N Analog Input Board M-712E Working 2
Hitachi EPD-02N Processor PCB Card M-712E Shallow Trench Etcher Used Working 1
Hitachi EPD-02N Processor PCB Card M-712E Shallow Trench Etcher Used Working 3
SVG 879-0133-004 Reticle Mask Cassette Lot of 11 Used Working 2
Sony DC-700 Camera Adaptor Hitachi I900SRT Used Working 1
Hitachi 560-5510 High Voltage Control Unit MHVC 560-5509 Used Working 4
Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working 11
Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working 1
Keyence T1DC1-04434-10003 Heater Tape Unit Controller TF2-31 Used Working 2
Panasonic GP-US502 Camera Control Unit Z-E115-01 working 1
Hitachi PDM-100 DC Power Supply S-9300 CD SEM Used Working 1
Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch lot of 8 working 4
AMAT Applied Materials 0010-28224 300mm MCA Heater SST Cover Kit 0010-28233 new 1
Eaton 1121450 EMO Panel Rev.C working 1
Hitachi 569-5510 ST Sensor PCB S-9300 SEM Used Working 5
Hitachi 568-5590 ST Sensor PCB Two Sensor Board S-9300 SEM Used Working 5
Hitachi 569-5505 STSense PCB S-9300 CD SEM Used Working 5
Hitachi 569-5526 I.L.CN PCB S-9300 Scanning Electron Microscope Used 4
Hitachi 569-5519 EVCN3 PCB S-9300 CD Scanning Electron Microscope Used Working 3
Hitachi 569-5520 VSCN3 PCB S-9300 Scanning Electron Microscope Used 2
Eaton 1158560 DC Power Supply Module Rev.B working 1
Hitachi HT94219A Control PCB PI01 Working 8
Hitachi HT94218A Control PCB PM1 Working 10
Hitachi HT96611A Control PCB ASN1 Used Working 2
Hitachi HT94217 PCB Used Working 5
Lam Research 853-012350-002-J-LLFD Outer Gate Assembly 4420 Etcher Used Working 1
Hitachi 569-5516 ME I/F PCB S-9300 CD Scanning Electron Microscope Used Working 1
AMAT Applied Materials 0100-90710 Vacuum Gauge Interface Board Rev.D working 2
AMAT Applied Materials 0100-90880 Turbopump Interface Board Rev.A XR80 working 2
Comptemporary Controls QL-CXS 8-Port Transceiver Hub working 1
Millipore CDLD2106E Pressure Gauge CDLD-21S06-EMC lot of 6 working 1
Millipore CDLD2106E Pressure Gauge CDLD-21S06-EMC lot of 6 working 1
Granville-Phillips 275821 Differential Output Amplifier Series 275 lot of 5 used 2
Elect Air Inc. 95656-N0V-3 Pneumatic Manifold lot of 4 working 1
VAT 03009-NA24-1004 Slit Valve Novellus Concept II Altus Used Working 1
Tylan General AC213C AdapTorr Valve Controller AC-2 Novellus 04-848579-00 Used 1
KLA-Tencor CRS1010S Review Station DC Power Supply 1
Murr Elektronik TNGS 10-230/24 DC Power Supply 24VDC/10AMP lot of 5 working 1
GSI Lumonics 000-3008528 Laser Module KLA-Tencor CRS1010 Used Working 1
MKS Instruments 100997144 Isolation Valve System 839-13521-1-1956 LAM 4420 Used 3
Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working 2
Nikon 4S782-164 Stepper Controller working 1
TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working 3
TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working 30
TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Used Working 16
AMAT XR80 24V Power Supply Board 0100-90025 working 2
AMAT XR80 24V Power Supply Board 0100-90025 working 5
Nikon 4S017-891-A AWLMTH-4 Interconnect Motherboard working 1
Digital Electronics FP-VGA 260S Flat Panel Touch Screen Used Working 1
Particle Measuring Systems FiberVac Fiber Optic Interface Used Working 1
Particle Measuring Systems FiberVac Fiber Optic Interface Used Working 1
Particle Measuring Systems FiberVac Fiber Optic Interface Used Working 3
AMAT XR80 Implanter Controller 0090-91404 ITL working 1
Particle Measuring Systems FiberVac VacuLaz Interface working 1
Particle Measuring Systems FiberVac VacuLaz Interface working 1
SCP Global Tech 1900121B-00 SPU Processor Board New 1
AEG PC-A984-120 Modicon PLC Control System Assembly DEP 216 / AS-BDEP-216 Used 2
Edwards D37370761 iGX Accessory Module 24V DC 210mA New 8
Keyence LX2-70 Laser Thrubeam Photoelectric Sensor Lot of 6 Used Working 1
Delta Energy Systems AWE-1DC-750W Power Supply SGPN4060A Lot of 8 Used Working 1
Nikon NSR S202 Controller 4K171-841-3 working 1
LAM Research 853-017160-002-F-1273 Rear EMO Assembly LAM 4420 Used Working 1
Lam Research 853-017163-001-G-262B RF Switch Box 4420 Rainbow Used Working 1
Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working 1
Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working 1
Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working 2
LAM Research 853-013610-501-A-LEAN Solenoid Tray Assembly LAM 4420 Used Working 1
Lam Research 715-130092-008 End Effector 200mm Wafer Rev. B Used Working 1
LAM Research 715-130080-008 200mm End Effector Rev. F Used Working 1
LAM Research 853-013610-001-D Solenoid Tray Assembly LAM 4420 Used Working 1
LAM Research 853-013610-001-D Solenoid Tray Assembly LAM 4420 Used Incomplete 1
AMAT XR80 Data Acquisition Inverter 0100-90027 working 1
AMAT XR80 Data Acquisition Inverter 0100-90027 working 1
AMAT XR80 Implanter TPDU Monitor 0100-90492 4
AMAT XR80 Implanter TPDU Monitor 0100-90492 1
AMAT Applied Materials 0100-90385 Contacter Drive PCB Card XR80 Used 2
AMAT Applied Materials 0100-90385 Contacter Drive PCB Card XR80 Used 1
AMAT Applied Materials 0100-90385 Contacter Drive PCB Card XR80 Used 1
AMAT Applied Materials 0100-90875 Suppression Logic Issue C PCB Board Used 1
AMAT Applied Materials 0100-90875 Suppression Logic Issue C PCB Board Used 1
Beamline Vacuum Control 1
LAM Research 852-011061-503-C-CHMN Lower Chamber Assembly 4420 Etcher As-Is 1
Computer Recognition Systems 8815DL590 Image Bus Controller Rev. H Used Working 1
Computer Recognition Systems 8843BQ347 Edge Detector PCB Card Rev. E Used 1
Computer Recognition Systems 8805EC924 Rev. E Quad Ram Board Used 1
Computer Recognition Systems 8815CM463 Image Bus Controller Rev. G Used Working 1
Computer Recognition Systems 8843CM457 Edge Detector PCB Card Rev. G Used 1
Computer Recognition Systems 8805DC795 Rev. D Quad Ram Board Used 1
Computer Recognition Systems 8805DX899 Rev. E Quad Ram Board Used 1
Computer Recognition Systems 8938BA268 LCS board 1520-1000 Rev. C Used 1
Computer Recognition Systems 8949BN313 Rev E Gemini PC-3 Used Working 1
Computer Recognition Systems 8815DF565 Image Bus Controller Used Working 1
TEL ACT 8/12 CPC-T0001A-13 PCB Assembly Used 1
Tokyo Electron TEL DC/DC Converter Board 2981-600310-12 Used Working 7
TEL Unity 2 TMP 8ch Control Board REX-B860-CS2 working 5
TEL Untiy 2 PCB TYB41C-1 1D81-000128-11 working 4
Avio E67920 1D08-000098-12 PCB TEL Unity 2 Working 8
TEL Unity 2 COM Board PCB 1D81-000-009218 working 3
VAT 87907-R1 Adaptive Pressure Controller Board 610PB-26NM-0002 1
VAT 87907-R1 Adaptive Pressure Controller Board  610PB-26NM-0004 Used 2
TEL Tokyo Electron 1D81-0000-0096A9 Unity II Gas Board Used 2
TEL Tokyo Electron 1D81-00-0096AA Unity II Gas Board E67920 Used 4
TEL Unity 2 Control PCB 1D81-000-009219 working 3
TEL Tokyo Electron MAIO Control Card 1D81-000099-C4 3
TEL Tokyo Electron TCP Transition Chill Plate ACT12 300mm Used 1
TEL Tokyo Electron 1D08-000131-11 Unity II TYB421-1/INL PCB Used 13
TEL Tokyo Electron 1D08-000103 Unity II PCB TYB412-1/C Used Working 3
Progressive Technologies Inc. 12000G01 Sentry Supervisor working 3
Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working 1
Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working 1
Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working 2
Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working 1
Omron E5EK-AA2B Digital Temperature Controller Used 1
Pureron DFM2-1000 Digital Flow Meter Lot of 3 Used 1
Square D KAL262501127J Molded Case Circuit Breaker Lot of 3 Used 1
Square D FAL22031127J Molded Case Circuit Breaker Lot of 5 Used 1
Square D Vairous Molded Case Circuit Breaker 20A 25A 40A 240V~250V Lot of 7 Used 1
Zellweger Analytics 2414-0017 Digi-Cat Non-Intrusive Calibrator Used 2
Camco 70RGD0H20-360 Roller Gear Index Driver Used 1
Intel NetStructure MPCBL0001F04 High Performance Single Board Computer Used 1
Brooks Automation 002-7391-33 Pre-Aligner Used Working 1
Kyowa WGA-100B-01 Instrumentation Amplifier Used Working 1
Edwards Vacuum Flash Module D37215000 Working 2
Edwards U20000521 Vacuum Interface Module Used Working 1
Edwards U20000521 Vacuum Interface Module Used Working 1
Edwards iNIM D37310000 Network Interface U20000924 Working 1
Edwards U20000920 Flash Module Network Interface D37310000 Used 1
Edwards ITIM E73+A1+T1 Control Module D37420000 working 5
Edwards Local Control Module D37420000 Used Working 1
DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working 1
Edwards Y14204000 Temperature Management System TMS Used Working 2
Edwards Y14204000 Temperature Management System TMS Used Working 3
TEL ACT 12 300MM Air Valve Assembly Develop Process Station As-Is 2
Pentagon Tech. Anelva Subtrate Holder 233-3059-99 new 28
Ansul Agent Release Alarm Panel 442R Used Working 1
Yaskawa SGMPH-01AAE-YA11 AC Servo Motor Used Working 1
SMC VV5Q11-16-DAJ00773 Pneumatic Manifold NP420-DN1 Interface Control Used 2
Pentagon Tech. Anelva PVD Split Ring 233-3055-11 new 18
VAT 62034-KA18-1005 Pneumatic Angle Isolation Valve Lot of 6 Used Working 1
VAT 26332-KA11-1001 Angle Isolation Valve Lot of 9 Used Working 1
VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working 1
VAT 26324-KA11-1001 Pneumatic Angle Valve Lot of 6 Used Working 1
VAT 26324-KA11-1001 Pneumatic Angle Valve Lot of 6 Used Working 5
Regal FS-10S Flow Sensor FS-S Lot of 2 Used Working 1
Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working 1
Kokusai Electric Alpha FB001-DS Noise Filter Used Working 8
Sony XC-77 CCD Video Camera Module Lot of 3 Used Working 1
Intel MPCMM0001 Net Structure Chasis Management Module Top Assembly C52711-014R Used 7
Intel MPCMM0001 Net Structure Chassis Management Module Top Assembly C52711-022 Used 2
Keyence T2DC1-11652-10001 Heater Tape Temperature Control Unit Used Working 1
Edwards D37215000 Vacuum Pump Flash Module Used Working 4
Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 1
TEL Unity II ENI RFC-6 MW Controller RFC-6-01 Rev.B working 3
Kyosan DC High Voltage Power Supply TEL Unity 2 working 7
Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 1
Oriental Motor 4054-LD4 5-Phase Servo Driver lot of 2 working 1
Chemetal Anelva PVD Ring Shield Holder 233-3057-99 new 3
Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used 7
Sanken Electric Co. MLT-DCB0X5 DC Power Supply Used Working 6
Sanken Electric Co. MLT-DCB0X5 DC Power Supply Used Working 3
Yaskawa DR1-08AC Servo Drive working 7
STEC SV-P1203 Mass Flow Meter SV-P1000 lot of 5 untested as-is 3
STEC SV-P1102 Mass Flow Meter Lot of 3 As-Is 1
STEC SV-P1102 Mass Flow Meter Lot of 3 As-Is 5
STEC SV-P1203 Mass Flow Meter Lot of 4 As-Is 1
STEC SV-P1203 Mass Flow Meter Lot of 4 As-Is 15
Jobin Yvon Monochromator TEL Unity 2 H-10VIS Used Working 21
Jobin Yvon Monochromator TEL Unity 2 H-10VIS Used Working 2
Pentagon Tech. Anelva PVD Door Shield 233-3055-12 new 18
TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working 4
Tokyo Electron 1D81-000129 Unity II Control PCB TYB222-1/SW Used Working 2
Tokyo Electron 1D81-000129 Unity II Control PCB TYB222-1/SW Used Working 2
TEL Tokyo Electron 1D81-000096 Unity II Gas PCB TYB 211-1/GAS Used Working 4
TEL Tokyo Electron 1D81-000096 Unity II Gas PCB TYB 211-1/GAS Used Working 2
TEL Tokyo Electron 1D81-000140 Unity II PCB TYB41D-1/TMP Working 4
TEL Tokyo Electron 1D81-000120 Unity II PCB TYB419-1/PC Used Working 4
TEL Tokyo Electron 1D08-000103 Unity II PCB TYB412-1/C Used Working 2
TEL Tokyo Electron 1D81-000104 Interface PCB TYB411-1/TC Used Working 7
Pentagon Tech. Anelva Holder Shield Plate A12-00838 new 32
TEL Tokyo Electron 1D81-000118 Unity II PCB Control Board TYB417-1/OPE Working 2
TEL Tokyo Electron 1D81-000131-11 Unity II PCB TYB421-1/INL Used Working 1
VAT 61144-PA52-1008 Throttle Valve Working 2
VAT 61144-PA52-1008 Throttle Valve Working 1
VAT 12044-PA24-1002 Vacuum Gate Valve working 3
TEL Unity 2 Laser Assembly MLXS-D12-670-3 Lot 2 1
VAT 87907-R1 Adaptive Pressure Controller Board 610PB-26NM-0002 3
Pentagon Tech. Anelva 4-Spoke Shutter 233-5053-01 new 30
TEL Tokyo Electron 1D81-000099-A6 Control PCB TVB111-1/MAIO Used Working 2
TEL Tokyo Electron REX-B860-CS2 Unity II TMP 8ch Control Board Used Working 3
TEL Tokyo Electron 1D81-000009219 Unity II PCB TYB 121-1/COM Used Working 1
TEL Tokyo Electron 1D81-000009219 Unity II PCB TYB 121-1/COM Used Working 1
TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working 2
TEL Tokyo Electron 1D81-000126 PCB Control Board TYB311-1/PC Used Working 3
Tokyo Electron TEL Unity 2 Slit Valve 012-004124 Used Working 3
Tokyo Electron TEL Unity II Slit Valve 012-004123 Used Working 5
TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used 28
TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used 4
TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used 12
TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used 4
VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working 1
VAT 26328-KA11-1002 Pneumatic Angle Valve Lot of 4 Used Working 4
Edwards iNIM D37310000 Network Interface U20000924 Working 1
Edwards U20001107P Eason Control Box Used Working 2
Edwards NRY0190412 Switch Box Rev. C 6xPDT IGX Pump Used Working 1
Honeywell Sputtering Target 037-0173-25 new 300mm 9
Edwards iNIM D37310000 Network Interface U20000924 Working 1
AMAT Applied Materials 0040-75563 Load Lock Roughing Manifold Used Working 1
Particle Measurement Systems 659510-100-AA Laser Control Unit FiberVac II Used 1
Particle Measurement Systems 659510-100-AA Laser Control Unit FiberVac II Used 1
Mitsubishi NV50-SW Earth-Leakage Circuit Breaker Lot of 6 Used Working 1
Kokusai Electric FB001-DS Noise Filter Used Working 4
Mitsubishi SD-N95 Magnetic Contactor Lot of 2 Used Working 1
Orion Pelthermo ETM832A-DNF-L-G3 Power Supply 3000W 1-Phase 208V Working 1
Orion Machinery ETM932A-DNF-L-G3 Pelthermo Power Supply Used As-Is 2
Orion ETM832A-DNF-L-G2 Pelthermo Power Supply As-Is 1
Hitachi CTE11-01 Temperature Control Assembly RKC REX-F7 As-Is 1
Kokusai Electric U01200PMQA-DS1CE Ultrasonic Generator As-Is 5
Pentagon Tech. Anelva Internal Shield 233-5053-02 new 7
Pentagon Tech. Anelva Internal Shield 233-5053-02 new 8
KLA-Tencor CRS1010 SDP Frame Grabber PCB 000483 1
CKD N4S0-T30 Pneumatic Block Manifold N3S010 Lot of 3 Used Working 1
Advanced TCA MPCBL0030 High Performance Single Board Computer D26196-001 Used 2
Advanced TCA C13133-010 Power Supply Lot of 6 Used Working 1
AdvancedTCA C13133-013 Power Supply Lot of 4 Used Working 1
ATMI Shower Head 01-INT-006 refurbished 233413935 27
Edwards D37420000 Local Control Module Used Working 2
Yaskawa VS2B Transfer Robot Working 1
Edwards D37310000 iNIM Base Network Interface 3X Cards 1X EGM Used Working 5
Samsung Techwin SVP-5500N DX Video Presenter RS232C Used Working 1
Yaskawa BC930310 Servo Controller SGD-02AN Working 2
Pentagon Tech. Anelva PVD Fluted Ring 233-3055-15 new 16
Yaskawa DDMQF-SR2231I Robot Controller SRC-II 006 Working 2
Yaskawa DDMQF-SR2232IR System Robot Controller SRC-II 005 working 2
Millipore FC-2900M Mass Flow Controller HE 20 SCCM Tylan Lot of 8 As-Is 1
AdvancedTCA 100-D190-9496R11 Single Board Computer D19496-002 Used Working 1
Evans Components NB-GL-8C-200-X Integrated Gas Stick Lot of 3 Used Working 1
Pentagon Tech. Anelva PVD Cover Fixture A16-93047 new 11
AdvancedTCA NPIC62765 Single Board Computer C62765-002 Used Working 1
Tokyo Electronic Industry TE6036A7 Touch Screen Monitor LCD1012A Used Working 2
AMHS 560-5547 PCB Used Working 1
Novellus Systems 03-283968-00 Interface FE/LL C3 Vector Revision A Used Working 1
Hitachi S-9300 SEM ALARM1F2 PCB 568-5602 1
Hitachi S-9300 SEM 569-5505 ST Sense PCB Used Working 2
Pentagon Tech. Anelva PVD Target Fixture A16-57797 new 14
Hitachi S-9300 SEM 560-5505 Lens-PS PCB Used Working 1
Hitachi S-9300 569-5510 ST Sensor PCB Used Working 5
Hitachi S-9300 SEM 569-5519 EVCN3 PCB Used Working 3
Hitachi S-9300 568-5590 ST Sensor PCB Used Working 2
AdvancedTCA D27271-001 Single Board Computer MPCBL0020BPP01 Used Working 2
AdvancedTCA D27271-001 Single Board Computer Card MPCBL0020BPP01 Used 1
AdvancedTCA D52085-001 Single Board Computer Card SBC MPCBL0020S01Q Used 1
AdvancedTCA D52085-001 SBC Single Board Computer MPCBL0020S01Q Used Working 1
AdvancedTCA C87952-001 Single Board Computer Card MPCBL0010BPP Used 2
Pentagon Tech. Anelva PVD Door Shield 233-3050-80 new 11
AdvancedTCA D25065-001 Single Board Computer Card MPCBL0030N01PP Used 2
AdvancedTCA D26196-004 Single Board Computer Card MPCBL0030 Used 1
AdvancedTCA D26196-003 Single Board Computer Card MPCBL0030 Used 1
Znyx Networks ZX5000-X4 16-Port AdvancedTCA Base Fabric Switch Used 1
AdvancedTCA D25065-001 Single Board Computer Card MPCBL0030N01PP Used Working 2
Hitachi 568-5569 NPSDAMP PCB Lot of 4 Used Working 1
Hitachi S-9300 SEM 568-5602 ALARM1F2 PCB Working 5
Hitachi S-9300 SEM 569-5526 I.L.CN PCB Working 1
Hitachi S-9300 SEM 569-5516 ME I/F PCB Working 4
Hitachi S-9300 SEM 569-5520 VSCN3 PCB Working 4
Pentagon Tech. Cover, B Anelva PVD API-435 new 24
Hitachi S-9300 SEM 569-5512 CNCORD1 PCB Used Working 6
Ultrapointe Corporation Assembly 00045 Lon Motor Driver Board Lot of 2 Working 1
Huber+Suhner SPA 3500/60/16/0/DS_C Planar Antenna Lot of 3 Used Working 1
Tel Tokyo Electron CT ACT 12 300mm 2987-415068-W6 Head Assembly Used Working 4
Pentagon Tech. Holder Cover SPC Anelva 233-5053-03 new 29
Hoya-Schott SD-340 AOFS Driver Used Working 1
Hitachi S-9300 SEM 569-5516 ME I/F PCB Working 2
Allen-Bradley 700DC-PK400Z24 Master Control DC Relay 700-CPM Lot of 2 Used 1
Pentagon Tech. Internal Shield Anelva 233-3059-56 new 2
AEG DAP218/AS-BDAP-218 Output Module 042701664 Modicon Lot of 2 Used Working 1
Sti 44510-0300 Safety Monitoring Relay SR05A Reseller Lot of 4 Used Working 2
Sti 44510-0300 Safety Monitoring Relay SR05A Reseller Lot of 4 Used Working 1
AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working 2
AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working 2
AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working 1
AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working 10
Omron H3CR-A Timer With 2-M4X30 Socket Lot of 6 Working 2
Pentagon Tech Holder Cover Anvelva 233-5052-99 new 18
Aerotech 1035-01-1000-01 Magnet Servo Motor 1035DC/MO/E1000MB Working 1
Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working 1
Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working 1
Evans Components NB-GL-8C-200-X Integrated Gas Stick Lot of 3 Used Working 1
Agilent Remote Receiver E1709A lot of (6) Used Working 1
Inficon 253-492 Pneumatic Angle Valve VAP040-X Used Working 1
CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Working 1
GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working 1
MagneTek 1005096710 Digital Phase Lock Control PCB Rev. NC Used Working 1
Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working 2
LDI Pneutronics 691-0074 PCB Control Board Rev. A Used Working 9
LDI Pneutronics 691-0074 PCB Control Board Rev. 3 Used Working 2
Ultrapointe Corporation Assembly 00045 Lon Motor Driver Board Lot of 2 Working 2
Nikon 4S013-448 IRC-A-PCB Board Lot of 3 Used Working 1
Ultrapointe Corporation Assembly 00045 Lon Motor Driver Board Lot of 2 Working 2
Znyx Networks ZX5000-A1 16-Port AdvancedTCA Base Fabric Switch Used 1
Znyx Networks ZX5000-A1 16-Port AdvancedTCA Base Fabric Switch Used 4
Bio-Rad Y5301267 AIMS DC Servo Board Quaestor Q7 Used Working 6
Computer Recognition Systems 8946-0001 VME/Overlay Interface Issue 1 PCB Used 6
RGI Raster Graphics Inc 6000700-09A VME Board RG700 Used Working 12
Bio-Rad Y5304901 DSF VME Interface Board Used Working 5
Bio-Rad Y5304901 DSF VME Interface Board Used Working 6
Diversified Technology ATC5231 Single Board Computer Card Used Working 1
AdvancedTCA C89126-001 Single Board Computer Card NPIC89126 Used Working 1
AdvancedTCA C55360-009 Single Board Computer Card MPCBL0001F04 Used 1
AdvancedTCA D25065-001 Single Board Computer Radisys 61-0934-30 Working 1
AdvancedTCA C13354-007 Single Board Computer MPCBL0001N04 Used Working 2
Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working 5
Bio-Rad Y5305021 PCB Issue B Used Working 2
Bio-Rad Y5305021 PCB Issue B Used Working 2
Bio-Rad K7034-002-2-23158-1001 PCB 002-1-23158-100 Used Working 2
Bio-Rad K7034-002-2-23158-1001 PCB 23158-100 Used Working 3
Bio-Rad K7034-002-2-23158-1001 PCB 002-1-23158-100 Rev. 2A Used Working 1
Force Computers SYS 68K/IOBP-1 Rear I/O Transition Module IOBP-1 Used 5
Force Computers SYS 68K/IOBP-1 Rear I/O Transition Module IOBP-1 Used 5
Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working 4
Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working 5
AdvancedTCA C13354-007 SBC Single Board Computer MPCBL0001N04 Working 1
AdvancedTCA C13354-015 Single Board Computer MPCBL0001N04 Used Working 1
AdvancedTCA C89125-001 Single Board Computer Card NPIC89125 Used Working 1
Siemens S30861-Q485-M-H3/01 Telecom Board Base Station Used 1
AdvancedTCA D25065-001 Single Board Computer SBC Card MPCBL0030N01PP Used 2
VERO Electronics VMExcel J1 Backplanes 243-413011 Used Working 2
VERO Electronics VMExcel J1 Backplanes 243-413011 Used Working 1
SCP Global Technology 3270171G Auxiliary Relay Unit Used Working 1
AdvancedTCA D9788-001 Single Board Computer MPCBL0040B01Q Used Working 2
VWR 61161-362 Digital Conductivity Resistivity Salinity Bench Meter Used Working 1
Nikon 4S013-369- Interface PCB STGSTCAFX4 Used Working 1
TDK RAW24-31R Power Supply Used Working 2
AdvancedTCA C13354-007 Single Board Computer Card MPCBL0001N04 Used Working 1
AdvancedTCA C13354-008 Single Board Computer MPCBL0001N04 Used Working Surplus 1
AdvancedTCA C13354-008 Single Board Computer MPCBL0001N04 Used Working 1
AdvancedTCA C55360-009 Single Board Computer MPCBL0001F04 Used Working 1
AdvancedTCA C55360-007 Single Board Computer MPCBL0001F04 Used Working 2
Kontron ATCA/FQA-01 AdvancedTCA Processor Blade Used Working 1
AdvancedTCA C90917-001 Base Fabric Blade NPIC90917 Used Working 1
AdvancedTCA C68158-001 Base Fabric Blade MPCB0010SPP Used Working 1
Edwards W65531611 Barocel Pressure Sensor 100 Torr Tested Working 7
Edwards W65521611 Barocel Pressure Sensor 10 Torr Transducer Tested Working 16
Edwards W65511611 Barocel Pressure Sensor 1 Torr Transducer Tested Working 10
Tokyo Electronic LCD1012X Touch Screen LCD Monitor Type BE6138A2 Used Working 3
Bio-Rad Quastor Q7 OFO501328 Microscope Objective 70/0.50 Used Working 1
M & E Control Data Pad TK-001 Used Working 1
Nikon 4S015-197 CPU Board NK386SX4-NSC Used Working 3
Nikon 4S018-444-EPDRV1-X2A PCB Board Used Working 1
Nikon 4S018-550-LMDRVX3 PCB Controller Board Used Working 1
Komatsu Electronics Inc. GR-712-1 Heat Exchanger Power Supply 20000620 working 1
Nikon 4S018-379-SPI0X2 Scanner Blade PCB Used Working 1
Nikon 4S018-351 PCB Board OPDCTRL2 Used Working 1
TDK 2EA00E182B Nikon 4S001-064 Power Supply Blade Used 2
Nikon 4SO14-142-3 PCB Blade RL-CTRL2 Used Working 1
Nikon 4S018-403- PCB Blade PPD3S Used 1
Nikon 4S001-082 Power Module PCB NSR S307E Used Working 2
Nikon 4S007-668-A FIAAF Process B Board Used Working 1
SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used 1
SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used 1
Nikon 4S007-843-F PCB EX-AIS Used Working 1
Varian E11288510 Relay Interface Rev. A Used Working 1
Kyowa WGA-100B-01 Instrumentation Amplifier Used Working 2
Celerity 9240-05462ITL Mass Flow Controller Argon Bleed Assembly Used 1
AMAT Applied Materials 0270-04365 EVR Extraction Tool Used Working 1
Kokusai Electric Burning/Process Exhaust Pipe Temperature Controller Used 1
AMAT Applied Materials 9240-04102 Cryo Interface Unit Lot of 2 Used 1
Teradyne 420-431-01 Universal Manipulator Support Kit Used Working 1
Merlin Gerin NSF150N Industrial Circuit Breaker Used Working 1
Hoya-Schott AOFS Driver SD-340 Used Working 1
Nikon NRS S306C 4K197-306 Pneumatic Box Working 1
Koganei 4K199-395 Nikon Pneumatic Box Assembly NSR-S307E Used Working 2
PULNIX TM-7EX Miniature CCD Camera Used Working 12
Ansul Agent Release Alarm Panel 442R Used Working 1
Nikon Optistation 3 200mm Optical Fiber Light Source PSM-11520 working 1
Edwards NRY0190412 Switch Box Rev. C 6xPDT IGX Pump Used Working 1
Mitsubishi AC Servo Drive MR-J2-20A-S12 working 7
Screen SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A Working 6
DNS Dainippon Screen SL-2130-C-Z Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC4 Used 1
DNS Dainippon Screen SL-2130-C-Z Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC4 Used 3
Mitsubishi MR-J2-10A-S12 AC Servo Drive MELSERVO Used Working 2
Datalux LMV10B 10-inch Flat Panel Display Used Working 1
Nikon 4S008-035 Power Supply Assembly Used Working 1
CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 21 Used Working 1
CKD Solenoid Valve Manifold N4S0-T30 N3S010 Lot of 7 Used Working 1
CKD N4S0-T30R 18 Port Manifold Solenoid Valve N3S010 Lot of 4 Used Working 5
Omega RD6111 Single Channel Flatbed Recorder AC/DC Voltages & Currents New 1
CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 14 Used Working 1
DNS Dainippon Screen VME-HLS-DS Processor VME Card PC-99033D PCB SL-1012B Used 2
DNS Dainippon Screen VME-HLS-DS Processor VME Card PC-99033D PCB SL-1012B Used 6
MYCOM MY5211-214 PCB PG-104L-05 Used Working 5
MYCOM MY5211-214 PCB PG-104L-05 Used Working 5
CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 28 Used Working 1
CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Working 2
Sanritz Automation SVP501-3-P10 PCB Used Working 1
Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working 5
Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working 15
Edwards U20000924 iNIM D37310000 Network Interface Used Working 1
alum-a-lift E40001233 Gate Valve End Effector Kit E20-9313A-01-000A Used Working 1
Nikon 4S587-579A RS-HUB NSR S307E Used Working 1
Nikon 4K197-306 Pneumatic Box NRS S306C Used Working 1
CKD OPP3-1H Pneumatic Manifold Assembly N4S0 Used Working 3
TEL Tokyo Electron T-3055DD Power Supply As-Is 1
Nikon Z-4B1A-A1901 Power Supply Module NSR-S307E Used Working 1
Tencor 33205 AC Power Box Assembly LPM Used Working 1
Tencor 33205 AC Power Box Assembly LPM Used Working 1
AMAT Applied Materials 9094-00923ITL Processor Vacuum Control Chassis As-Is 1
Nikon 4S018-908-1 Connection PCB Card PNLRESET NSR-307E Used Working 1
TDK RDH24-6R0 DC Power Supply Used Working 3
TDK RDH24-6R0 DC Power Supply Used Working 2
Watlow DIN-a-Mite Power Controller DB80-24C0-0000 Lot of 3 Used 1
Eaton GDB-D 14k Circuit Breaker 15 Amp Lot of 4 Used Working 1
AP Tech AP1001S 2PW Valve 772-091607-001 Lot of 10 Used 1
Edwards NRY00T0000 VFB Purge Box P126X Used Working 1
Omron Z4LC-C28 Parallel Beam Line Sensor Working 2
Omron Z4LC-C28 Parallel Beam Line Sensor Working 1
Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge Lot of 12 Used Working 1
Emerson Bristol Babcock 506008-649 Pressure Switch Lot of 3 Used Working 1
AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New 2
Qualiflow 05M07 High Flow Shut Off Valve F-Series Reseller Lot of 40 Used 1
IDEC RY2S-U Blade Relay Lot of 90 Working 1
Nippon Puretech Cleanant Filter CTA-AN/620360155A2N New 2
Nikon NSR Power Supply Assembly 4S013-413-SPRLNK 4S001-107 4S013-448 Used 2
Nikon Z-4B1A-A1901 Linear Track NSR-S307E Used Working 1
SCREEN DS-1608-TR Tank Module Wet Station Assembly DIW D-101 Working 5
Nikon Z-4B1A-A0402 Linear Track NSR-S307E Used Working 2
Nikon NSR-S307E Cassette Elevator Used Working 2
Taisei Denki TE1B-720-EN-NF Blue Power Transformer FC-3000 Used Working 1
Taisei Denki TE1B-720-EN-NF Blue Power Transformer FC-3000 Used Working 1
Brooks Automation WTM-511-2-FWS02-V1 Transfer Robot 0190-08245 Untested As-Is 2
Brooks Wet Robot WTM-511-2-FWS02-V1-CU 0195-02883 Working 1
V-Tex Slit Valve 172B336X48DCPR03 Missing Door As-Is 1
MYCOM MY5211-214 PCB PG-104L-05 Used Working 5
Screen VME-HLS-DS PC-99033D PCB SL-1012B Used Working 2
Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working 2
Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working 4
Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working 6
Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working 3
Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working 13
Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working 15
Screen PC-97009 COMDIV SL-3010 PCB Card Used Working 2
Screen PC-97009 COMDIV SL-3010 PCB Card Used Working 1
Screen PC-97009 COMDIV SL-3010 PCB Card Used Working 3
Edwards U20000924 iNIM D37310000 Network Interface Used Working 1
Edwards U20001107 Eason Control Box Rev. A Used Working 1
Edwards Y14204000 Temperature Management System TMS Used Working 1
Comtrol A00058 Rocketport 8 port Circuit Board Rev.B Lot of 3 Used Working 1
Computer Boards CIO-DAS48-PGA Analog Input Board Used Working 1
Acces IDI-48A Digital Input Board Rev. A4 Lot of 3 Used Working 1
Hitachi BBB1-02 Interface Board M-712E Used Working 1
Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF Copper Used 2
Edwards U20000921 Network Interface 2X Cards 1X EGM Used 2
Nor-Cal Products CSVP-1502-CF Pneumatic Copper Seal Angle Valve Used Working 2
Komatsu Electronics Inc. GR-712-1 Heat Exchanger Power Supply 20000620 working 1
AMAT Applied Materials 9090-00442 Decel PSU Resistor Assembly Working 3
Orion ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Working 6
Omron V700-L21 CIDRW Controller Used Working 1
Omron V700-L22-1 CIDRW Controller Used Working 1
Omron V700-L22-1 CIDRW Controller Used Working 2
CKD TRP-03-A100T-X1002 Pressure Control Flow Splitter PARECT Used 1
Orion ETC902-NSCP-L2 Pel Thermo As-Is 4
Orion ETM832A-DNF-L-G2 Pel Thermo 3000W Power Supply 1-Phase 208V Working 2
Hitachi Control Board IOTC-02N Used Working 1
KLA-Tencor CRS1010 Mirror Assembly 003087 000381 working 1
KLA-Tencor CRS1010 Mirror Assembly 003087 000381 working 1
Hitachi Interface PCB VMPM-02N Working 1
Orion ETC902-NSC-LP Pel Thermo Heat Exchanger As-Is 2
Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working 17
Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working 1
Hitachi ILB-02 M-712E Relay Board Working 1
Ultratech Stepper 03-15-06034 Dual Stack Reticle Library Rev. A Used 1
Ultratech Stepper 03-20-1955 Focus A/D 5 Axis PCB Rev. E Used 1
KLA-Tencor AIT 2 Cradle Power Board 328014 Rev. B Working 1
Hitachi DI0-01N Control PCB M-712E Used Working 7
Hitachi I0TU-01N M-712E Input PCB I0CN-01A Working 3
Hitachi AI0-02N Analog Input Board M-712E Working 5
Hitachi EPD-02N Control Board PCB Used Working 2
Screen PC-97040A Control PCB HLS-MC1A Used Working 1
Screen PC-97040A Control PCB HLS-MC1A Used Working 3
Screen PC-97019 Interface PCB HLS-MC4 Used Working 1
Screen PC-97019 Interface PCB HLS-MC4 Used Working 3
Screen PC-97013B Interface PCB HLS-MC2 Used Working 1
Screen PC-97013B Interface PCB HLS-MC2 Used Working 3
DNS Electronics SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A SCREEN Working 1
DNS Dainippon Screen SL-2121 Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC3 Used 2
DNS Dainippon Screen SL-2121 Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC3 Used 2
DNS Dainippon Screen SL-2121 Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC3 Used 21
Edwards NBR784000 Vacuum Interface Module NGR409000 Working 2
Edwards NBR784000 Vacuum Interface Module NGR409000 Working 1
Edwards NGR40900 DeviceNet Interface With AIM Working 3
DNS Electronics FC-3000 Ion Pump Power Supply Used Working 5
Hitachi BBET-11 Backplane Interconnect PCB Working 3
Hitachi BBET-11 Backplane Interconnect PCB Working 2
Nikon SPA452B Linear Motor Controller 4S587-470-3 As-Is 1
Panasonic MSMA021A1E Servo Motor Assembly KE2-KK10 Working 1
DNS Electronics FC-3000 Trench Clean Tank Module DS-1211 Copper Exposed Used 1
DNS Electronics FC-3000 Trench Clean Tank Module DS-1211 Used Working 1
DNS Electronics FC-3000 CHCL Tank Module Wet Station Assembly SCREEN DS-1608-TR 1
DNS Electronics FC-3000 Rinse Tank Module DS-1211 Copper Exposed Used Working 1
DNS Electronics FC-3000 CHCL Tank Module Wet Station Assembly Copper Exposed 1
DNS Electronics FC-3000 Via Clean Tank Module DS-1211 Used Working 1
Kokusai CX1229-1 Mechanical Controller DNS FC-3000 Used Working 1
DNS Electronics FC-3000 Rinse Tank Module DS-1211 Used Working 1
DNS Electronics FC-3000 Rinse Tank Module DS-1211 Copper Exposed Used 2
DNS Electronics FC-3000 Rinse Tank Module DS-1211 Copper Exposed Used 1
DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working 1
Edwards U20000521 Vacuum Interface Module Used Working 3
Edwards NRY0190412 Switch Box 6 x PDT IGX Pump Used Working 1
Orion Pel Thermo ETM832A-DNF Power Supply Used Working 1
DNS Electronics FC-3000 DS-1211-ANALOG(D) I/O Modules DS-1608-TR(B) Used Working 15
Komatsu 20000620 Heat Exchanger Power Supply GR-712-1 Working 1
Edwards Exhaust Purge Flow Control Box Used Working 6
AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working 1
AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working 3
AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working 2
Nikon 4S065-417 Power Supply Assembly With 4S065-418 Interface Working 1
Hitachi HT94219A Control PCB PI01 Working 11
Hitachi HT94218A Control PCB PM1 VER Working 14
Hitachi HT94217 PCB CPU0 working 1
Hitachi HT96611A Control PCB ASN1 Used Working 4
Hitachi HT98309 Backplane PCB Working 1
Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF FC-3000 Used Working 1
Mitsubishi NV50-SW Earth-Leakage Circuit Breaker Lot of 6 Used Working 1
Mitsubishi SD-N95 Magnetic Contactor UN-CZ800 Lot of 2 Used Working 1
TRI-MAG K45-4 High Current Amplifier K-Series Lot of 2 Working 1
Brooks Automation 02-169208-00 ATM Robot As-Is 1
KLA-Tencor 328014 Cradle Power Board PCB AIT 2 Used Working 1
V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is 1
Tropel Doublet 3 Lens KLA-Tencor AIT 1 Working 2
Hitachi DI0-01N Control PCB M-712E Used Working 4
Hitachi I0TU-01N Input PCB I0CN-01A Used Working 3
Hitachi I0TU-01N Input PCB I0CN-01A Used Working 3
Hitachi ILP-02 PCB Used Working 2
Hitachi ILD-02 PCB Used Working 2
Hitachi AI0-02N Analog Input Board M-712E Working 8
Hitachi BBPS-11 PCB Lot of 2 Used Working 1
Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E 2
Hitachi BBDS-11 PCB Lot of 2 Used Working 1
SunX LD-C60 Laser Line Sensor Controller Working 1
DNS Electronics FC-3000 DS-1211-ANALOG(D) I/O Modules DS-1608-TR(B) Used Working 3
DNS Electronics FC-3000 DS-1211-ANALOG(D) I/O Modules DS-1608-TR(B) Used Working 5
Screen DSLE-0042 PCB Reseller Lot of 23 Used Working 1
DNS Electronics Via Clean Tank Module FC-3000 DS-1211 Copper Exposed Used 1
DNS Electronics Rinse Tank Module FC-3000 DS-1608-TR (B) Copper Exposed Used 1
DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working 1
DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working 1
Johnson Controls FM-ORL101-0 Function Module Output Relay Metasys Lot of 4 Used 2
AMAT Applied Materials 4020-00462 Cartridge Filter Reseller Lot of 20 New 1
RKC TRY-10PD-16-DC Transmitter Reseller Lot of 10 Used Working 1
Johnson Controls NU-XRL101-0 I/O Module Point Multiplex Metasys Working 1
Johnson Controls NU-XBN101-0 Output Module 1 Amp 125VAC Working 1
AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working 1
AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working 2
AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working 1
SunX SF4-AH Light Curtain Set Emitter And Receiver Used Working 10
SunX SF4-AH Light Curtain Set Emitter And Receiver Used Working 2
Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working 2
Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working 2
Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working 1
Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working 27
Edwards U20000920 Flash Module Network Interface D37310000 Used 3
Edwards U20000924 iNIM D37310000 Network Interface Used Working 15
Edwards NGR409000 DeviceNet Interface With AIM Working 4
Edwards NGR409000 DeviceNet Interface With AIM Working 8
Edwards A52844463 im Interface Module Used Working 4
JAE UT3-JAG4-L Touch Panel Monitor Used Working 4
Sanyo Denki PY2E015A3MH1P00 Servo Amplifier PY2E015A PY Working 4
Sanyo Denki PY2E015A3MH1P00 Servo Amplifier PY2E015A PY Working 6
Hitachi BBET-11 Backplane Interconnect PCB Working 1
Hitachi EPD-02N Control Board PCB Used Working 1
Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E 1
Hitachi AI0-02N Analog Input Board M-712E Working 2
Hitachi DI0-01N Control PCB M-712E Used Working 2
Edwards Y14501002 Temperature Managements System Used Working 1
Edwards Y14501002 Temperature Managements System Used Working 1
Edwards U20000921 Network Interface 2X Cards 1X EGM Used 3
Edwards U20000925 Netword Interface 4X Cards 1X EGM Used Working 2
Panasonic MSD021A1XX AC Servo Driver Minas Used Working 2
Panasonic MSD021A1XX AC Servo Driver Minas Used Working 3
Edwards Y14204000 Temperature Management System TMS Used Working 6
Edwards Y14204000 Temperature Management System TMS Used Working 2
Edwards U20000347 Interface Module Working 2
Edwards U20000346 im Interface Module NOVELLUS CONCEPT 2 DLCM Used Working 1
Edwards A52844413 Pump Interface Module Used Working 1
Oriental Motor UDX5107N 5-Phase Driver Super Vexta Used Working 3
Tachibana Tectron TVME2300 PCB Rev. A Used Working 2
Tachibana Tectron TVME2300 PCB Rev. A Used Working 1
Hitachi 1B19229 ZVL897 Processor Board PCB Card OFV-DTCT PCB Used Working 1
MKS Instruments Angle Valve 152-1063P Lot of 2 Used Working 1
V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is 3
OAI 0320-010-01 Exposure Analyzer 320 Used Working 1
Bede 60-013041-000 Sensor Interface FAB300 Working 1
Kokusai D2E01310A Vertron Branch PCB Working 1
Kyowa WGA-100B-01 Instrumentation Amplifier Used Working 1
Omron S8PS-30024C Power Supply Lot of 2 Used Working 1
Edwards W65531611 Barocel Pressure Sensor 100 Torr Tested Working 1
Nikon NSR Series Power Supply Module CB1000A Used Working 2
Hitachi Kokusai Electric DN-150A 2
Kokusai Vertron D3E01299A Brake PCB Used Working 1
Kokusai D4E01298 SPCONV2 PCB Vertron DD803V Working 1
Edwards NRY0190412 6 x PDT Switch Box for IGX Pumps Used Working 14
Soft Switching Technologies DS10025A120V2SH1106A Dynamic Voltage Sag Corrector 1
Soft Switching Technologies DS10025A120V2SH1106A Dynamic Voltage Sag Corrector 1
Digital TZ88N-VA Digital Tape Drive TZ88 Storage Works Lot of 2 As-Is 1
Schlumberger Technologies 97913172 HCDPS Board PCB Card 40913172 Used Working 2
Schlumberger Technologies 97911437 APGID "Y" Board PCB Working 1
Schlumberger Technologies 97911001 Layer 12 Microstrip 40911001-1 PCB Working 1
Asyst Teach Pendant 8045R2-1 Used Working 1
Ansul Agent Release Alarm Panel 442R Used Working 2
Ansul Agent Release Alarm Panel 442R Used Working 1
Hitachi EC2 Temperature Control Assembly REX-F7 Used Working 2
CKD N4S0-T30 8-Port Pneumatic Manifold N3S010 Solenoid Valve Lot of 4 Used Worki 5
Edwards 3XIH600/3XIL70 Interface Unit GI Working 1
Edwards U20000616 Shutdown Interface Box DWG# C4560-1 Working 1
RITTAL Rudolf Loh GmbH AE 1002 Relay Assembly Used Workin 1
CKD N4S0-T56 COMM Unit OPP3-1H 15-Port Pneumatic Manifold Lot of 2 Used Working 1
Edwards A52844463 iQ Interface Module Used Working 1
Evans Components NB-GL-8C-200-X Integrated Gas Stick Lot of 3 Used Working 5
Regal FS-10S Flow Sensor FS-S Lot of 2 Used Working 6
Regal Flow Sensor FS-30S Lot of 8 Used Working 1
Zellweger Analytics 2414-0017 Digi-Cat Non-Intrusive Calibrator Used 3
KLA-Tencor Quantox 64000 Cooling Module #98/KE10600-M working 1
Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used 2
Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used 3
Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used 6
Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used 1
Mitsubishi SD-N95 Magnetic Contactor UN-CZ800 Lot of 2 Used Working 1
Varian Semiconductor E111095460 Water Temperature/Resistivity Monitor Used 1
MEL Systems And Services MBT 240i MELSS New Surplus 3
Hiranuma Sangyo COM-555PH CADS Drain Wash Controller Used Working 1
Alum-a-lift E20-9313A-08-000C Manipulator Varian E40001241 Used Working 1
TDK Lambda RAX15-6R6 DC Power Supply 15V Lot of 4 Working 1
SVG ASML 879-8074-003-B Cap Gauge Assembly Used Working 1
TDK Lambda RAX05-20R DC Power Supply 5V Lot of 3 Working 1
Kokusai T2DC6-12143 BTBAS Controller CX3-GPLON Module Working 1
Leybold Vacuum 72142057-C Digital Temperature Gauge Meter Used Working 1
Leybold 72142059-B Dual Digital Run And Process Timer Working 1
Leybold 72142056 Digital Temperature Gauge Working 1
osel P30E-12 Power Supply Unit Lot of 3 Used Working 2
SVG ASML 854-8305-006-A Chassis Used Working 1
RadiSys EXP-MX PCB Assembly Used Working 1
Edwards NRY0DN000 Control Box Module Rev. J Used Working 1
Edwards U20001107 Easton Control Box Module NRY0P8101US Alarm Enclosure Working 2
Edwards Exhaust Purge Flow Control Box Used Working 1
Edwards NRY00T0000 VFB Purge Box P126X Used Working 2
Edwards NRY00T0000 VFB Purge Box P126X Used Working 1
SVG ASML 859-8366-011 Power Supply Assembly Used Working 1
Hitachi ZVL897 PCB OFV-DTCT Used Working 2
Genmark Automation 9800106571 Robot System Small Controller Untested 1
Tachibana Tectron TVME2300 PCB Rev. A Used Working 2
Hitachi ZVL776/100 Processor Board PCB Card MH3000 I-900SRT Used Working 4
VMIC 332-000113-427C Voltage Input Output PCB 2532A Used Working 4
VMIC 332-0006015-000R VMIVME Bus PCB Used Working 1
Omron G3PA-210B-VD Solid State Relay Lot of 12 Used Working 2
RKC Instruments H-PCP-A-34N-M*AB FAREX SR Mini HG System Used Working 2
Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5 Used Working 10
Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5 Used Working 2
RadiSys EPC-5 VIX CPU Module EXP-BP4 Used Working 1
Allen Integrated Assemblies AP10204 PCB Assembly Used Working 1
Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E Used 2
Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E Used 3
Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E Used 1
Edwards U20001107P Eason Control Box NRY0DN101US Working 2
Edwards NRY0DN101US Control Box Module Working 2
RKC Instruments H-PCP-A-34N-M*AB FAREX SR Mini HG System Used Working 1
Power-One HPM5J2J2K DC Power Supply Used Working 1
Therma-Wave Opti Probe 2600B Power Supply MC 18-010918 Working 1
rKc DSX-BOL PCB DSX-BOL-11-33A Lot of 2 used Working 2
rKc DSX-BOL PCB DSX-BOL-11-33A Lot of 2 used Working 4
Tel Tokyo Electron ACT 12 300mm 2985-404117-W3 Side Rinse Arm Assembly Used 2
Tel Tokyo Electron ACT 12 300mm 2985-404116-W3 Side Rinse Arm Assembly Used 2
3M 051111-90050-5 Diamond Pad Conditioning Disk A9810 Lot of 5 New 1
Nikon NSR-S307E Elevator Working 2
Omron S8PS-30024C Power Supply Lot of 2 Used Working 1
SMC VJ3233T 5-Port Pneumatic Solenoid Valve Block VJ3133T Lot of 2 Used Working 1
TEL Tokyo Electron 2985-406661-W3 Left Nozzle Arm Assembly ACT 12 200mm As-Is 1
TEL Tokyo Electron 2985-406653-W3 Right Nozzle Arm Assembly ACT 12 200mm As-Is 1
TEL Tokyo Electron 2985-414730-W2 Nozzle Block Assembly Act 12 200mm As-Is 4
Nikon NSR S307E Photoelectric Sensor Module Z4LC-S28 Used Working 1
TEL ACT 12 CKD 4SB019-C3 Pneumatic Manifold FL139571 2724 Lot of 3 Working 1
CFM Festo 13-798 IC-8M3/2-0, 9-24 PH Vessel Module Power Valve Card working 2
Seren IPS R600 RF Generator 600W As-Is 1
CFM 22024-02 Relay Board B11/8 B11/7 Lot of 2 Used Working 2
CFM Technologies 22024-02 Relay Board B11/12 Lot of 2 Used Working 2
CFM Technologies 22024-02 Relay Board B11/4 Lot of 2 Used Working 2
CFM Technologies 22024-02 Relay Board B13/4 B13/5 Lot of 2 Used Working 1
CFM Technologies 22024-02 Relay Board B13/0 B13/1 Lot of 2 Used Working 1
CFM Technologies 22024-02 Relay Board B11/2 B11/3 Lot of 2 Used Working 2
CFM 22024-02 Relay Board B11/14 B11/15 Lot of 2 Used Working 1
CFM 22024-02 Relay Board B13/6 B13/7 Lot of 2 Used Working 1
CFM 22024-02 Relay Board B11/6 B11/5 Lot of 2 Used Working 1
CFM Technologies 22024-02 Relay Board B13/2 B13/3 Lot of 2 Used Working 1
CFM Technologies 22024-02 Relay Board B11/6 B11/5 Lot of 2 Used Working 1
CFM Technologies 22024-02 Relay Board B11/14 B11/15 Lot of 2 Used Working 1
AMAT Quartz Bell Jar ZCoat 0040-13509 new 2
AMAT Quartz Bell Jar ZCoat 0040-13509 new 1
AMAT Quartz Bell Jar ZCoat 0040-13509 new 4
Hitachi S9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used 1
Air Products 809-609012 Front Panel Display Processor AP10211 Used Working 4
Air Products 809-609012 Front Panel Display Processor AP10211 Used Working 1
Hitachi M-712E Right Temperature Control Module CTE11-01 RKC REX-F7 Used Working 1
AdvancedTCA C94946-003 Power Supply Lot of 4 Used Working 1
ABB Asca Brown Boveri ACH550-UH-03A3-4 AC Drive Untested As-Is 1
Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 2
Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 2
Daihen HFA-50A-V RF Match Used Working 1
Daihen HFA-50A-V RF Match Used Working 3
Fujitsu Component NC14003-T752 Servis-Splitter PCB SF-310-5076-X751/01 Working 2
Hitachi RYY-1 Interconnect PCB Working 4
Hitachi BBDP2-01 Interconnect PCB Working 4
Air Products AP10530 10 Inch Display Unit Working 23
SMC SS0750-06-DAO00978 Pneumatic 6 Station Manifold Valve AMAT 4060-00846 New 6
Parker UHP1004-2755A1M410 Manual Diaphragm Valve Lot of 2 Working 1
Tescom Europe 74-2460KRH10 Manual Diaphragm Regulator Valve Working 5
ASM FEMS 1006-522-01 NeoStream Fan Filter Unit CKC855-AN01 New 1
Tokyo Keiso SFC-720-20 Ultrasonic Flow Meter Lot of 2 Working 1
Edwards 2XIQDP80-QMB500 Control Enclosure Used Working 1
Acces I/O Products IDO-48-S03 Isolated Digital Input PCB 27-166888-00 Working 2
MKS Instruments AS01491-AB-1 Control PCB CDNR491R Board AMAT 0190-27072 Working 8
Lambda JWS150-5 Power Supply Lot of 2 Used Working 1
Toyo Sokki DLS-5028 Digital Load Cell Reader-Meter DC24V Working 1
Toyo Sokki DLS-5028 Digital Load Cell Reader-Meter DC24V Working 1
SAGInoMIYA PNE-2D05-011 Digital Flow Controller Used Working 2
Kokusai Vertron D1E01300B Control Board SIOB/A2 Used Working 1
Fuji Electric EFL-3.7SP-2 3 Phase RFI Filter Lot of 2 Used Working 1
TEL Tokyo Electron 3D81-000017-V3 Power Supply PCB TYB511-1/I0AS Lot of 2 Used 3
TEL Tokyo Electron 3D81-000020-V3 Power Supply PCB TYB514-1/I048 Lot of 2 Used 15
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B Used Working 1
VAT 12148-PA24-AFG1 Pneumatic Gate Valve Used Working 3
TDK ZACT2280-ME Noise Filter 2000 VAC Used Working 3
Okaya 3SUP-H100H-ER-4 Noise Filter Lot of 2 Used Working 1
Hitachi M-511E Etching Machine Heater Transfer Unit Used Working 1
Hitachi M-05A2LS-400K RF Matching Box M-511E Used Working 1
SMC CDQ2B140C-J0406-XC11 Pneumatic Cylinder with Head M-511E Used 2
Hitachi BBS511-1 15-Port PCB M-511E Used Working 1
Hitachi RYX-2 PCB M-511E Used Working 1
Hitachi BBS210-2 12-Port PCB M-511E Lot of 2 Used Working 2
Hitachi PTPA-01 PCB M-511E Lot of 2 Used Working 1
FGL11-X0029 CKD Leak Break Valve M-511E Lot of 2 Used Working 1
Edwards B90002041 Pneumatic Gate Valve BGV Used Working 2
Edwards B90002041 Pneumatic Gate Valve BGV Used Working 1
CTA-AN/615310155A2N Nippon Puretec Clealant Filter New 2
Nippon Puretec CTA-AN/460360155A2N Cleanant Filter New 3
PV2-4/4-BTBDK2 Sanso Wet Pit Type Centrifugal Pump Used Working 2
Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working 13
Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Lot of 2 Used Working 1
Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Lot of 2 Used Working 6
Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Lot of 2 Used Working 9
Omron NT20S-ST161B-V3 Interactive Display Used Working 1
Omron NT20S-ST161B-V3 Interactive Display Used Working 2
Omron NT20S-ST161B-V3 Interactive Display Used Working 1
KLA-Tencor CRS1010 Laser Power Board 000327 working 4
LAM Research 852-011200-003-G-231S Entrance Loadblock Assembly 4420 Used 1
Omron CSIG-CPU43-V1 CPU Unit Programmable Controller SYSMAC Used Working 1
TDK RAW12-14R 12V DC Power Supply Used Working 1
MicroProbe PCIN62MP Wafer F32 Planar Lot of 5 New 1
Artesyn 494000711 2-Slot CBVVI VME PCB Used Working 1
Artesyn 494000711 2-Slot CBVVI VME PCB Used Working 1
TEL Tokyo Electron Lithius CSB Robotics Arm CRA Assembly Used Working 5
TEL Tokyo Electron Lithius CSB Robotics Arm CRA Assembly Used Working 2
TEL Tokyo Electron Lithius Front Opening Unified Pod Used Working 31
Applied Materials AMAT 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New 1
Novellus 02-359080-00 Robot Linear Track Used Working 1
DNS Electronics Sulfuric90c Temperature Monitor Cooling Tank FC-3000 Used Working 2
DNS Electronics SC-1 Temperature Controller Process Bath FC-3000 Used Working 1
Kondoh Kohsya LM-101 Controller Assembly DSM-007 Used Working 1
TEL Tokyo Electron Lithius Develop Process Station Used Working 1
TEL Tokyo Electron Lithius Develop Process Station Used Working 1
TEL Tokyo Electron Lithius Adhesion Process Station ADH Used Working 1
TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working 1
TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working 2
TEL Tokyo Electron CRA Career Station Block Robotics Arm Lithius Used Working 3
OAI 0320-010-01 Exposure Analyzer 320 Panel Used Working 1
2DI TDVD Two Dimensional Data Viewer New 1
2DI TDVD Two Dimensional Data Viewer New 3
Hitachi 564-5531 Control PCB S-9380 Used Working 1
Hitachi 569-5504 SEM Stage Control Panel S-9300 Used Working 1
Hitachi 569-5549 SEM EVAC Control Panel EV-Panel S-9380 Used Working 1
Hitachi 569-5567 System Control PCB COL-CN2 S-9380 SEM Used Working 1
Hitachi 569-5560 EM DIST PCB S-9380 SEM Used Working 1
Hitachi 569-5558 System I/O PCB N-VSCN S-9380 SEM Used Working 1
Hitachi 569-5561 System Control PCB ALARMIF4 S-9380 SEM Used Working 1
Alcatel 967-1609-008 Capacity Key AQ Receiver Card UD-36AQ Used Working 1
SVG 879-8210-001-B Signal Conditioner PCB Board A3101 Used Working 1
SVG 879-8210-002-A Signal Conditioner PCB Board A3101 Used Working 1
AJS Controls CLN-SYS-BR550-A ECU Transition System PCB 879-8071-002-E Used 1
AJS Controls System-F-3041 ECU Transition PCB Board 879-8071 Used Working 1
Alcatel 967-1609-008 Capacity Key AO Receiver Card UD-35AQ Used Working 1
MueTec KH-1 Full Tilt Station SVG Lithography Step and Scan System Complete 1
SVG RA2011-16 Oven Temperature Controller Used Working 1
Advanced TCA D93606-001 SAS Expander UID D50012-002 New 1
Advanced TCA D80204-002 SAS Expander UID D50012-02 New 1
Znyx Networks ZX5000-X3 16-Port Advanced TCA Base Fabric Switch Used 1
Omron PC-UBRP4A Communication PCB H-DPK Opti-Probe OP2600B Used 2
Omron PC-UBRP4B Communication PCB Opti-Probe OP2600B Used 5
Mykrolis QCCYATE01K QuickChange ATE Chemlock Cartridge New 1
TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 23
TEL Tokyo Electron Y331-D204CE Thermo Generator Control Unit D204 Lot of 3 As-Is 1
FDT Future Display Tech FDT19C06FP Touch-Screen Monitor Used Working 1
Nihon Koshua MBA-010-H-2 1kW RF Matching Box Used Working 1
TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working 1
S2S Electronics SAF303-045 Softdrive Assembly PX995 Used Working 1
BOC Edwards NRY0TN101 Pump Control Enclosure NRY0TN000 Used Working 1
BOC Edwards NRY0DN101US Eason Alarm Control Enclosure NRY0DN000 Used Working 1
Edwards NRY0TN101US Eason Alarm Enclosure NRY0TN000 Rev. M Used Working 1
BOC Edwards NRYOTN101US Eason Alarm Enclosure NRY0TN000 Rev. D Used Working 1
BOC Edwards NRY0DN101 Pump Control Enclosure NRY0DN000 Rev. D Used 1
BOC Edwards 6XPDM Vacuum Pump Switch Box Enclosure Used Working 14
BOC Edwards 6XPDM Vacuum Pump Switch Box Enclosure Used Working 37
Edwards U20000480 Vacuum Pump Interface Module Used Working 1
Digital View AV-7010-0120-A4 Display Screen Monitor Used Working 1
Hitachi M-511E Microwave Plasma Etching System Mapping Sensor Robot Used Working 3
iL70N Edwards Dry Vacuum Pump NRB4-46-945 Tested As-Is 2
iL70N Edwards Dry Vacuum Pump NRB4-46-945 Tested Working 1
iL70N Edwards Dry Vacuum Pump NRB4-46-945 Copper Tested Working 1
iL70N Edwards Dry Vacuum Pump NRB4-46-945 Copper Tested Working 1
AMAT Applied Materials IL100A Fuse Box Power Unit Used Working 1
Hitachi HT98218 Control PCB COM VER. A Used Working 1
Hitachi HT94219B Control PCB DI02 Used Working 1
BANNER Engineering MGR4816A Light Curtain Receiver MGE4816A Emitter Beam Array 2
Nikon 4S019-235 Power Module 4S018-908-1 Used Working 1
BANNER Engineering MGR616A Light Curtain Receiver MGE616A Emitter Beam Array 2
Opto 22 PB32HQ PCB Lot of 2 Used Working 1
Nikon NSR 4S013-363-BLECX4 Linear Scale Assembly Mitutoyo ST420 Used Working 2
Control Concepts UC208D-T3 Teradyne Tester Used Working 1
Edwards U20001189 7 Foot Power Cable Rev. B i6X Series Lot of 4 Used Working 4
Keyence PJ-V20T Light Curtain Transmitter PJ-V20R Receiver PJ-V90 Controller Set 28
Nikon 029506 Ocular Lens Eyepiece Microscope Assembly Used Working 1
Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working 1
SMC ITV2011-31N3N4-X95 E/P Pressure Regulator Lot of 6 Used Working 3
Belden 1624R CDT Networking Datatwist Five 10m Cable Lot of 20 Used 1
Unitron ZSM 200m Stereo Binocular Microscope ZF Series 0.6X-3X Used 1
Celerity FC-2979MEP5 Mass Flow Controller 1 SLM Ar Used 1
Millipore FC-2979MEP5 Mass Flow Controller 30 SCCM C4F8 Used 1
Millipore FC-2979MEP5 Mass Flow Controller 100 SCCM C2F6 Used 1
Celerity IFC-125C Mass Flow Controller AMAT 0190-28962 MultiFlo SC25 Used 3
Celerity IFC-125C Mass Flow Controller AMAT 0190-28964 MultiFlo SC27 Used 1
Celerity IFC-125C Mass Flow Controller AMAT 0190-28965 MultiFlo SC28 Used 2
Celerity IFC-125C Mass Flow Controller AMAT 0190-28963 MultiFlo SC26 Used 3
Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used 1
Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used 2
Millipore FC2900S 4S Viton Mass Flow Controller 5 SCCM N2(Ar) Used 3
Tylan FC-2900M Mass Flow Controller 200 SCCM Cl2 Used 1
Tylan FC-2900M Mass Flow Controller AMAT 3030-00854 Used 1
Millipore FC-2900M Mass Flow Controller AMAT 3030-05429 5 SLPM N2 Used 1
Tylan FC-2900M Mass Flow Controller AMAT 0227-42246 Used 1
Tylan FC-2900M Mass Flow Controller AMAT 3030-05420 Used 1
Tylan FC-2900M Mass Flow Controller AMAT 0226-42472 Used 1
Edwards D04847000 Active Ion Gauge Controller AIG-E-B2E Used Working 6
Edwards U20000922 INIM Network Interface D3731000 Used Working 2
Edwards U20000937 MCM & AIM Vacuum Interface Module Used Working 4
Edwards NRY0RH101US Eason Control Box Module Alarm Enclosure Used Working 1
Edwards NRY0DN101USP Eason Control Box Alarm Enclosure Used 1
Edwards NRY0P8101 Eason Control Box Module Alarm Enclosure Used Working 1
Edwards NRY0DN101US Eason Control Box Module Alarm Enclosure Rev. H Used 1
Edwards NRY0DN101US Control Box Module Alarm Enclosure Rev. K Used 1
Edwards NRY0TN101US Control Box Module Alarm Enclosure Rev. L Used Working 1
Edwards NRY0DN101US Control Box Module Alarm Enclosure Rev. J Used Working 1
Edwards NRY0DN101US Control Box Module Alarm Enclosure Rev. M Used Working 1
Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working 3
Pulnix TM-200 CCD Camera with 200M Lens 4529117 Used Working 1
Edwards U200001198 iNIM Interface Cable 4 Way 4M Used Working 2
Watec LCL-903K Color CCD Camera with Tamron 3-8mm Lens Used Working 1
Watec LCL-903K Color CCD Camera with Kowa 3-8mm Lens Used Working 1
Edwards D37370591 PDT Extension Cable 4 Way 3M Used Working 2
IPX-500A BOC Edwards A409-14-977 Dry Pump Tested Working 1
IPX-500A BOC Edwards A409-14-977 Dry Pump Tested Working 4
STEC SEC-7330M Mass Flow Controller SEC-7330 300 SCCM O2 Used 3
STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM NH3 Used 3
Edwards 2XQ80-QMB1200 Power Distribution Box Novellus Concept II Used Working 1
Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 6
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 500 CCM 4%CH4/Ar Used 4
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 50 SCCM O2 Used 2
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 100 SCCM CH3F Used 1
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 30 SCCM O2 Used 2
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 500 SCCM Ar Used 5
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM SF6 Used 3
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 300 SCCM CL2(0.856) Used 3
Aera FC-D985CT-BH Mass Flow Controller 150 SCCM CL2 Used 3
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 50 SCCM NF3(0.479) Used 3
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 15 SCCM O2 Used 2
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 100 SCCM Cl2 Used 2
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 10 SCCM SF6 Used 3
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. D Used 4
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. C1 Used 2
Edwards Vacuum System NGW Gate Valve Interface Cable 1.2 M Lot of 4 Used 1
Edwards D37207591 IQ Extension Cable 4 Way 3M Lot of 6 Used 4
Edwards U200001023 Interface Cable 5 Way 4M Used Working 2
Edwards Vacuum System Interface 15 Pin Cable Reseller Lot of 18 Used 1
Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Used Working 3
Future Display Technology FDT18C05FP 18" Touchscreen Monitor Panel Used Working 2
Future Display Technology FDT18C05FP 18" Touchscreen Monitor Panel Used Working 1
Edwards Vacuum System NGW Gate Valve Interface Cable 4.8 M Lot of 4 Used 1
Edwards Vacuum System Connector Cable 15 Pin D 3M Lot of 4 Used 1
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM HBr Used 7
Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 5 SLM N2 Used 2
Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 2 SLM N2O Used 3
Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 2 SLM NF3 Used 3
TEL Tokyo Electron 2985-5052232-11 ACT8 Wash Cup Holder Cover Used Working 1
STEC SEC-7330M Mass Flow Controller SEC-7330 200 SCCM SF6 Used 3
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 100 CCM C2F6 Used 2
Varian 1008-4928 Vista Communications Module Used Working 1
Granville-Phillips 352016 Gauge Controller Series 352 Lot of 4 Used Working 1
Granville-Phillips 352001 Gauge Controller Series 352 Rev. 12 Used Working 3
Granville-Phillips 352001 Gauge Controller Series 352 Rev. 12 Used Working 8
TEL Tokyo Electron 041249 Ion Gauge Vacuum Manifold Assembly 274012 Used 5
TEL Tokyo Electron 041249 Ion Gauge Vacuum Manifold Assembly 274012 Used 13
TEL Tokyo Electron 041247 Ion Gauge Vacuum Manifold Assembly 274012 Used 3
TEL Tokyo Electron 041247 Ion Gauge Vacuum Manifold Assembly 274012 Used 14
Infranor MH0606 P43 Servo Amplifier Drive Board Used Working 1
Kyowa WGA-100A Instrumentation Amplifier Lot of 2 Used 1
Komatsu 20000240 Power Supply HGR-72 Used Working 2
Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 1
Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 8
Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 1
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM CHF3(0.498) Used 1
Aera FC-D985CT-BH Mass Flow Controller FC-D985C 50 SCCM CO Used 2
Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 2 SLM N2 Used 4
Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM O2 Used 2
Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM N2 Used 1
Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM H2 Used 3
STEC SEC-7330M Mass Flow Controller SEC-7330 200 SCCM CHF3 Used 4
STEC SEC-7330M Mass Flow Controller SEC-7330 400 SCCM CF4 Used 4
STEC SEC-7330M Mass Flow Controller SEC-7330 500 SCCM Ar Used 3
STEC SEC-7330M Mass Flow Controller SEC-7330 30 SCCM O2 Used 4
Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM N2 Used 1
Horiba STEC SEC-7350BM Mass Flow Controller SEC-7350 20 SLM N2 Used 4
Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM O2 Used 1
Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM H2 Used 1
Horiba STEC VC-1410 Injection Valve 200 SCCM BTBAS Used 1
Horiba STEC SEF-8240SM-UC Injection Valve 200 SCCM BTBAS Used 2
STEC SEC-4500M Mass Flow Controller AMAT 3030-05704 20 SLM N2 Used 1
Nikon NSR IPA Vapor Level Control Module Used Working 1
Avio E67920 EPD Fiber Optic Comm Module Used Working 2
Avio E67920 EPD Fiber Optic Comm Module Used Working 28
Watec WAT-902H3 Color CCD Camera Supreme with Compumotor 3.5-10.5mm Lens Used 1
SAM SFC1482FX4 Mass Flow Controller 20 SLM O2 Used 1
SAM SFC480F1MC-4VM Mass Flow Controller 10 SCCM SiH4 Used 1
MKS Instruments DLT2A213163AA Flow Ratio Controller AMAT 3030-07512 Used 1
AE Advanced Energy M1-10-10-01-01-00 Mass Flow Controller 30 SCCM WF6 Used 1
STEC SEC-7340M Mass Flow Controller 3 SLM H2 Used 1
Horiba STEC SEC-Z12DWM Mass Flow Controller AMAT 0190-16747 Used 1
Unit Instruments 1110-100006 Mass Flow Controller AMAT 3030-04694 Used 1
Lintec MC2100NC Mass Flow Controller 300 SCCM N2 Used 1
Celerity DSNGD1XM Mass Flow Controller 89-172 SCCM N2 Used 1
Genwac GW-902H CCD Video Camera with Computar 4.5-10mm Lens Used Working 2
KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Rev. AA Used Working 2
KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Used Working 2
KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Used Working 2
KLA-Tencor 0026346-000 Pneumatic Transfer Assembly Rev. AD Used Working 1
KLA-Tencor 0026346-000 Pneumatic Transfer Assembly Rev. AD Used Working 1
Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used 5
Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used 7
Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used 5
Edwards NGW073000 Pneumatic Gate Valve Assembly Used Working 2
ACL D13450 Microwave Control Module Rev. 5 Used Working 1
AMAT Applied Materials 8047-10 2-Way Split Fiber Optic Cable Used Working 1
Iwaki Musen Kenkyusho SR1057AC Power Supply AD2 PCB MK2G69950 Used 2
Iwaki Musen Kenkyusho SR1058AE Power Supply AD3 PCB MK2G69951 Used 2
Iwaki Musen Kenkyusho SC879EE Processor SEQ1 PCB MK2G68591C Used 2
Iwaki Musen Kenkyusho MSR1266FF Power Supply AD1 PCB MK2G68585G Used 4
TEL Tokyo Electron 3D81-000103-V1 PCB TPB-S.V0 TYB62E-1/RF Used Working 3
SVG Lithography Systems 859-8366-011 Power Supply Assembly ASML Working 1
SVG Lithography Systems 859-8366-004 Power Supply Assembly ASML Used Working 1
AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used 1
iL70N Edwards NRB446945 Dry Vacuum Pump Tested Working 1
IKO Nippon Thompson TSL220-600/A10E583 Robot Track 32.5" Used Working 1
IKO Nippon Thompson TSL220-600/A10E583 Robot Track 32.5" Used Working 4
SVG Lithography Systems 859-8366-010 Power Assembly Rev. A Used Working 1
NTI Network Technologies ST-4U-TS-NBS-12V KVM Switch Nodemux Used Working 1
Nikon PSM-11520 Optical Fiber Light Source with Cable Used Working 1
NSK M-FZ080 Megathrust Y-Series Uninterruptable Power Source TEL ACT12 Used Working 1
Panasonic MSM022A1FT AC Servo Motor TEL Tokyo Electron 2980-192034-12 Used 2
Panasonic MSM022A1FT AC Servo Motor TEL Tokyo Electron 2980-192034-12 Used 1
Panasonic MSD023A1XX AC Servo Driver MINAS Used Working 1
Panasonic MSD023A1XX AC Servo Driver MINAS Used Working 1
Panasonic MSD023A1XX AC Servo Driver MINAS Used Working 4
Phoenix Mecano VIF/4-24-PO-115-OPAL Power Supply AMAT SEMVision cX Used Working 1
A to Z Electronics 50714800000 FOCI Assembly AMAT Applied Materials SEMVision cX Used 1
Opal 70512360100 Assembly AMAT Applied Materials SEMVision cX Used Working 1
Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working 1
Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working 1
Opal 50312350000 STC DR Assembly AMAT SEMVision cX Used Working 1
TEL Tokyo Electron LPC-T0007A-11 Load Port Assembly ACT12 Cassette Block Used 4
Oriental Motor CSD5807N-P-A11 5-Phase Driver Vexta TEL ACT12 Used Working 62
TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used 1
TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used 3
TEL Tokyo Electron LPC-T0009A-11 Load Port DC/DC Conv.Board 100-T0009A-11 Used 1
TEL Tokyo Electron LPC-T0009A-11 Load Port DC/DC Conv.Board 100-T0009A-11 Used 3
TEL Tokyo Electron 2981-600418-11 I/F Board #007 2908-600418-11 ACT12 Used 10
TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used 1
TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used 3
TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working 2
TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working 5
TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working 1
TEL Tokyo Electron 2981-600552-11 C/S Fan Conn. Board #02 2908-600552-11 Used 14
Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used 2
Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used 2
Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used 1
TEL Tokyo Electron 2981-600355-14 STP IF Board 2908-600355-11 ACT12 Used 1
Nova 210-70000-01 Novascan 420 Notebook Front End AMAT 0650-002266 Used Working 1
Edwards NRY12J000A Intel Abatement Enclosure IXG600M Used Working 1
BOC Edwards NRY0DN101CE Intel Eason Alarm Enclosure IGX600L Used Working 1
DIP Incorporated EH0116(A) Power Supply PCB DB-E63-101B Used Working 2
DIP Incorporated EH0116(A) Power Supply PCB DB-E63-101B Used Working 2
RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working 2
TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Board PCB T0B1048 Used Working 1
DIP Incorporated EH0111(B)-5 Power Supply PCB Assembly EH0111 DB-D56-101E Used 15
Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working 1
TEL Tokyo Electron HA-012 DC/DC COV #02 PCB PHA-012-1 Lithius Used Working 6
TEL Tokyo Electron HA-012 DC/DC COV #02 PCB PHA-012-1 Lithius Used Working 1
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0 Used Working 1
Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working 47
Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working 2
Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL ACT12 Used 2
AMAT Applied Materials 0090-04461 Circuit Breaker Assembly Used Working 5
Ebara ET600W Turbo-Molecular Pump Controller 600W ETC04 PWM-20M Used Working 1
Modus Instruments DW-(*)-01P-0-RFRR-15-080 Display Controller Used Working 1
Modus Instruments DW-(*)-01P-0-RFRR-15-080 Display Controller Used Working 2
TEL Tokyo Electron 2981-600570-11 PCB Board 12 CONN STG #1 2908-600570-11 Lot of 2 Used 10
Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 2
TEL Tokyo Electron AP9E-0318C-12 PCB Motor I/O Board ACT12 Assembly Used 7
NSK E043ZZIF1-002 PCB TIF Board E010ZZIF1-002-1 TEL Tokyo Electron ACT12 Used 2
NSK E043ZZIF1-001 PCB XIF Board E010ZZIF1-001-1 TEL Tokyo Electron ACT12 Used 2
Takada FJ39EB-X010N0 CRA X Board PCB TEL Tokyo Electron ACT12 Used Working 2
Yaskawa SGM-A8A3TA11 AC Servo Motor TEL 2980-193372-11 ACT12 Used 3
Yaskawa SGMP-A8A3TA11 AC Servo Motor TEL Tokyo Electron 2980-193371-11 Used 5
NSK JS1003FN506 Servo Motor TEL Tokyo Electron 2980-193373-11 ACT12 200mm Used 1
NSK JS1003FN506 Servo Motor TEL Tokyo Electron 2980-193373-11 ACT12 200mm Used 3
NSK JS2006FN509 Servo Motor TEL Tokyo Electron 2980-192017-11 ACT12 200mm Used 1
NSK JS2006FN509 Servo Motor TEL Tokyo Electron 2980-192017-11 ACT12 200mm Used 2
Ultrapure R3111400 Deionizer DI Tank Container Used Working 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump And QMB250F Blower Tested Working 1
Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working 5
Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working 2
Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working 2
Diahen AGA-50G-V RF Power Generator Untested As-Is 1
Daihen WGA-50E-V RF Power Generator Stack Tested Working 1
Daihen WGA-50E-V RF Power Generator Stack Tested Working 2
Daihen WGA-50E-V RF Power Generator Stack Tested Working 1
Yaskawa SGDA-01APY126 Digital Display Servo Drive Servopack OP03A Used Working 1
Yaskawa SGDA-01APY126 Digital Display Servo Drive Servopack OP03A Used Working 5
Yaskawa SGDA-01APPY126 Digital Display Servo Drive Servopack OP034 Used Working 2
Yaskawa SGDA-01APPY126 Servo Drive Servopack TEL ACT12 Used Working 2
Yaskawa SGDA-01APY126 Servo Drive Servopack Used Working 1
Yaskawa SGDA-01APY126 Servo Drive Servopack Used Working 3
Nikon NSR-S307E Pressure Sensor Assembly Used Working 1
Nikon NSR-S307E Pressure Sensor Assembly Used Working 1
Nikon Z-4B1A-A1901 Transfer Station NSR-5307E Used Working 1
Semitool 885751-007 Display Monitor Module Nikon NSR-S307E Used Working 1
VAT 0200X-BA24-A Pneumtaic Slit Valve Used Working 4
VAT 0200X-BA24-A Pneumtaic Slit Valve Used Working 2
VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working 1
MKS Instruments 100991588 Pneumatic Angle Valve Used Working 1
Digi 50000988-01 32-Port Terminal Server Etherlite 32 Used Working 1
Daytronic Model 3530 Indicator Display SEM Vision CX Used Working 1
Daytronic Model 3530 Indicator Display SEM Vision CX Used Working 1
Pneutal SCP-160-A-1 Pneumatic Air Tank Used Working 1
Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX Used Working 1
Oram Power Supplies LPS 850 Power Supply AMAT SemVision cX Used Working 1
Oram Power Supplies LPS 850 Power Supply AMAT SemVision cX Used Working 3
Opal 50312450000 UI Distribution Control Assembly AMAT SEMVision cX Used Working 1
CMC Cleveland Motion Controls BMR2005TCG00CEA002 PM Servo Motor Used Working 1
Opal 30613210100 LVPS Assembly AMAT Applied Materials SEMVision cX Used Working 1
Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used 1
Opal 70512527 CVC PCB Board AMAT Applied Materials SEMVision cX Used 1
Opal 50412570 ETD PCB Board AMAT SEMVision cX Used Working 1
Opal 50312540100 DVD PCB Board AMAT SEMVision cX Used Working 1
Opal 3061255000 MIS2 PCB Board AMAT SEMVision cX Used Working 1
Opal 30612530100 SRA3 PCB Board AMAT SEMVision cX Used Working 1
Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX Used 1
AMAT Applied Materials 300mm Wafer Transfer Arm AMAT SEMVision cX Used Working 1
Nikon Z-4B1A-A0402 Transfer Station With Display NSR-S307E Used Working 1
Nikon NSR-S307E Laser Lens Reflection Assembly Used Working 1
Nikon NSR-S307E Large High-Powered Lens Assembly Used Working 1
Vicor 97123186 DC Power Supply MX4-410503-33-EL 4KW MepaPAC Tested Working 1
Vicor 97911384 DC Power Supply MP10-51009-B 3Ø MegaPAC Tested Working 1
Nikon T-703018 Optics Table Assembly NSR-S307E Used Working 1
TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 200mm Used 2
TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 No Rack Used 1
TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 No Rack Used 1
TEL Tokyo Electron TCP Transition Chill Plate Process Station ACT12 Used 2
TEL Tokyo Electron SHU Shuttle Slider Assembly ACT12 200mm Used Working 3
TEL Tokyo Electron SHU Shuttle Slider Assembly ACT12 200mm Used Working 3
NSK XY-HRS030ZM105 Linear Actuator TEL ACT12 200mm Used Working 4
NSK XY-HRS055ZM123 Linear Actuator TEL ACT12 200mm Used Working 2
Fortrend 114-1005 2-Tray Wafer Load Station F8225 Tested Not Working 1
Fortrend 120-1004 Wafer Load Station F-8025S Tested As-Is 1
TEL Tokyo Electron CSB Cassette Block Process Station ACT12 200mm Used Working 1
TEL Tokyo Electron Fuse Block ACT12-200 200mm Used Working 3
TEL Tokyo Electron CT2985-416713-W5 Resist Arm Assembly (12-R) ACT12 Used 1
TEL Tokyo Electron CT2985-404116-W3 Side Rinse Arm Assembly (12-R) ACT12 Used 1
TEL Tokyo Electron CT2985-416715-W6 Resist Arm Assembly (12-L) ACT12 Used 1
TEL Tokyo Electron CT2985-404117-W3 Side Rinse Arm Assembly (L) ACT12 Used 1
TEL Tokyo Electron CT2910-232934-11 6-Way Bath Valve ACT12-200 200mm Used Working 2
TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working 50
TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working 3
TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working 6
TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working 7
TEL Tokyo Electron Photoresist Buffer Tank Assembly TMD002-X8 ACT12 Used Working 3
TEL Tokyo Electron SH5M015T1 Photo-Resist Filter F16SS ACT12 Used Working 50
TEL Tokyo Electron SH5M015T1 Photo-Resist Filter F16SS ACT12 Used Working 8
Oriental Motor A4839-9015KE 5-Phase Stepping Motor TEL ACT12 Used Working 1
Fortrend 120-1004 Wafer Load Station F8025 Tested As-Is 1
HX75 Thermo Neslab 386105021704 Recirculating Chiller Copper Not Working As-Is 1
Shimadzu EI-3403MD Turbomolecular Pump TMP TEL 3D80-000960-V1 Used Working 2
Shimadzu EI-3403MD Turbomolecular Pump TMP TEL 3D80-000960-V1 Used Working 1
TEL Tokyo Electron ACT 12 Stepper Interface Stage Used Working 2
Hitachi Stage Control Unit 568-5521 S-9300 SEM Used Working 1
AMAT Applied Materials DIP294-2 DeviceNet I/O Block 9090-00273 Working 3
AMAT Applied Materials DIP294-2 DeviceNet I/O Block 9090-00273 Working 7
BOC Edwards D38665000 Active Gauge Controller Nikon NSR Used Working 2
BOC Edwards D38665000 Active Gauge Controller Nikon NSR Used Working 10
Nikon NSR KNB47145 Laser Lens Carousal Assembly K-00102 Used Working 1
Nikon NSR KNB47138 Laser Prism CCD Camera Assembly K-00101 Used Working 1
Nikon NSR KNB47138 Laser Prism Assembly K-00101 Used Working 1
MKS Instruments 627B-15968 Baratron Pressure Transducer Used Tested Working 1
Lucas Labs Power Unit PDC Used Working 1
TEL Tokyo Electron Right Photo Resist Tank ACT 12 As-Is 2
TEL Tokyo Electron Left Photo Resist Tank ACT 12 As-Is 3
TEL Tokyo Electron Left Small Photo Resist Tank ACT 12 As-Is 2
TEL Tokyo Electron 20-Port Pneumatic Manifold 21-40 CKD 4SB019-C3 ACT12 Used 2
TEL Tokyo Electron 20-Port Pneumatic Manifold 21-40 CKD 4SB019-C3 ACT12 Used 2
TEL Tokyo Electron 20-Port Pneumatic Manifold 21-40 CKD 4SB019-C3 ACT12 Used 4
TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used 1
TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used 1
TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used 2
TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used 2
TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used 5
TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used 4
Novellus Vacuum Valve Assembly KIV-075-P Used Working 1
Tylan General CDL-21S06 Baratron Capacitance Manometer Novellus Concept II Used 2
iQDP40 Edwards A532-40-905 Dry Vacuum Pump with QMB250 Blower Tested As-Is 1
iQDP40 Edwards A532-40-905 Vacuum Pump with QMB250 Blower Used Tested Working 1
AD-Tec AXR-2000III RF Plasma Generator Used Tested Working 4
Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working 2
Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working 1
EPX180L Edwards Turbomolecular Pump A419-41-152 EPX 180L Not Working As-Is 1
Rorze RE120-002-001 Indexer Elevator Assembly RD-023MS Used As-Is 1
Yaskawa 4S061-689-4 Linear Motor Controller CLSR-33-N2CD-1 Nikon NSR-S204B Used 1
Lam Research Indexer Cover Rainbow 4420 Etcher Used Working 2
Lam Research 713-011203-001 90 Degree Loadlock Cover Rev. E Rainbow 4420 Used 1
TEL Tokyo Electron 2985-404098-14 COT Cup (6) Assembly ACT12 Used Working 1
TEL Tokyo Electron Interface Block Roller Clean Track ACT12 Used Working 6
Daifuku CNT-2686A Encoder OPC PCB Board 2686A Used Working 1
Oriental Motor A4509-048 5-Phase Driver PCB Used Working 1
Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 1
Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD Untested As-Is 1
TEL Tokyo Electron Interface Block Roller Clean Track ACT8 Used Working 3
TEL Tokyo Electron Interface Block Roller Clean Track ACT8 Used Working 2
Shimadzu EI-3403MD Turbomolecular Pump TMP Turbo TEL 3D80-000960-V1 Used Working 1
Shimadzu EI-3403MD Turbomolecular Pump TMP Turbo TEL 3D80-000960-V1 Used Working 1
Cal Weld 10-142269-00N 3-Way Flex Bellows Vacuum Splitter DN 160 101081 Used 3
Pulnix TM-200 CCD Camera with Tamron U89355 Lens Used Working 1
TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working 4
TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working 1
Tel Tokyo Electron HTEW-TA6-E-11 AC Control Board #06 TAB2300 Used Working  2
Schlumberger 97911139 Noise Suppersion Board PCB Used Working  1
XP Power 003-101300-01-03 Circuit Board PCB Used Working  1
 Luxtron 320x/50x Interface PCB Used Working  1
TDK 3EA00B283 Power Supply PCB Lot of 2 Used Working  1
Nikon NK-C31D21 NSR Scanner PCB 4S01S-130-1 Used Working  1
Nikon 4S007-994 Interface Board PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used 12
Nikon FIAAF-TYUUKEI-H NSR Scanner PCB 4S007-931 Used Working  1
Nikon FIAAF-TYUUKEI-A NSR Scanner PCB 4S007-948 Used Working  1
SRC SVB-07 VME Systembus PCB Used Working 1
TEL Tokyo Electron PS1 RF Pump Box 3D81-000096-V1 3D81-000097-V1 Used Working 1
TEL Tokyo Electron PS1 RF Pump Box 3D81-000096-V1 3D81-000097-V1 Used Working 1
Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used 1
Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used 2
Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used 1
Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used 1
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working 5
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working 1
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working 23
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working 6
Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 32
Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 8
Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 8
TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 5
TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 7
TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 1
TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 4
TEL Tokyo Electron OYDK-057 CONN ANALOG #02 OYDK-058 PCB Board Used Working 2
TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working 1
TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working 6
CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 1
CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 1
CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 1
CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 2
TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working 1
TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working 14
TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working 1
TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working 3
TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working 1
TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working 3
Horiba STEC SMT-8000 Mass Flow Controller 200 SCCM BTBAS Used Working 1
Horiba STEC SMT-8000 Mass Flow Controller 200 SCCM BTBAS Used Working 3
Asyst 96B1-FDDR-AUBJ Temperature Control Module Watlow Used Working 2
Asyst 96B1-FDDR-AUBJ Temperature Control Module Watlow Used Working 1
AMAT Applied Materials 0010-47714 Target Gravity Safe Lock RF PVD New 1
AMAT Applied Materials 0010-47716 Target Gravity Safe Lock RF PVD New 1
Edwards C41624000 Vacuum Valve SIPV25PKA Used Working. 1
Bailey& Mackey 1481GHQ Pressure Switch -50"/-6" H2O Used Working 3
Thermo Neslab Rte-111 Recirculating Water Bath Chiller 134103200101 Tested As-Is  1
AMAT Applied Materials 0021-43798 Upper NI AL ARC-SRAY Sheild 300mm PVD New 1
AMAT Applied Materials 0041-38981 RF Ground Sheild Source 300MM RF PVD New 1
AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/ DEGAS 300mm Used 1
AMAT Applied Materials 0040-07501 RH Lamp Wire Cover 300mm PVD New 1
Lauda C6CS, Constant Temp Immersion Heating Recirculating Bath Edition 2000 As-Is 1
Festo 61-285456-00 Pneumatic Cylinder Cool PedLIFT LDLK Revision B Used Working 1
Festo 61-285456-00 Pneumatic Cylinder Cool PedLIFT LDLK Revision B Used Working 1
SensArray 1501B-8-0613 Thermocouple Instrumented Wafer Interconnect Panel Used 1
IOtech T71-TC Terminal Panel Thermocouple Module Used Working 1
Neslab RTE 111 Recirculating Water Bath Chiller 134103200103 Used As-Is 1
Cosel PAA50F-15-N Power Supply Reseller Lot of 17 Used Working 1
Cosel PAA75F-15-N Power Supply Reseller Lot of 18 Used Working 1
Haskris R050 Recirculating Chiller R-Series Copper Tested Not Working As-Is 1
AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm New 1
Fluke 874610 Type K Thermocouple Surface Probe 80pic-3A New 2
CKD N4S0-T30R 18-Port Pnueumatic Manifold N3S010 Solenoid Valve Lot Of 5 Used 1
CKD N4S0-T30 18-Port Pnueumatic Manifold N3S010 Solenoid Valve Lot Of 5 Used 1
CKD N4S0-T50 4-Port Pnueumatic Manifold N3S010 Solenoid Valve Lot Of 4 Used Work 4
CKD N4S0-T30 8-Port Pneumatic Manifold N3S010 Solenoid Valve Lot of 4 Used 1
TEL Tokyo Electron EPD Box Optic Sensor Unity II Used Working  2
TEL Tokyo Electron EPD Box Optic Sensor Unity II Used Working  9
Cosel K25A-12-N Power Supply P15E-15-N K25A-12 Lot of 24 Used Working 1
Cosel PBA300F-24 Power Supply MMC100A-2-N K10AU-5 R25A-12 P15E-5N Lot of 6 Used 1
Cosel LEP240F-24 4 Power Supply R100-24-N PAA100F-24-N Lot of 6 Used Working 1
Cosel PAA15OF-24-N Power Supply P50E-12-N P50E-15-N PAA50F-5-N Lot of 7 Used 1
Cosel MMB5OU-6 DC Power Supply Tel Tokyo Electron Unity II Used Working 8
Cosel MMB75U-1 DC Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 5
Cosel MMB75U-1 DC Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 1
CKD N4S0-T50 14-port Pneumatic Manifold N4S030 Solenoid Valve Used Working 3
CKD N4S0-T30 8-port Pneumatic Manifold N3S010 Solenoid Valve Used Working 1
CKD N4S0-T30R 8-Port Pneumatic Manifold N3S010 Solenoid Valve Used Working 2
Lambda LSS-37-12 Power Supply LFS-39-20 LFS-39-5 Reseller Lot of 16 Used Working 1
Power-One HB15-1.5-A Power Supply HAD15-0.4-A Reseller Lot of 15 Used Working 1
TDK EAK15-2ROG Power Supply RKW24-6R5C Lot of 30 Used Working 1
Computer Rcognition Systems 8946-0001 VME/Overlay Interface PCB Used Working 2
Computer Recognition Systems 8946BB291 VME/Overlay Interface PCB 8946BB291 Used Working 1
Computer Recognition Systems 8946BA283 VME/Overlay Interface PCB 8946-0001 Used 1
Cam Research 715-130092-008 End Effector 200mm Wafer Rev. A Copper Used Working 1
Fujitsu Component NC14003-T752 SERVIS-Splitter PCB SF310-5076-X751/02 Used 1
SVG Silicon Valley Group 859-0702-003 Scale Factor PCB Board Rev. B A1260 Used 1
SVG Silicon Valley Group 859-0702-003 Scale Factor PCB Board Rev. C A1260 Used 1
TEL Tokyo Electron 1D81-000096-AB PCB Board TYB 211-1/GAS Unity II Used Working 4
TEL Tokyo Electron 1D81-000096-AA PCB Board TYB 211-1/GAS Unity II Used Working  4
Bio-Rad YS301266 AIMS DC Servo Board PCB Card YS301267 Quaestar Q7 Used Working 1
Bio-Rad Y5301266P AIMS DC Servo Board PCB Card Y5301267 Questar Q7 Used Working 5
Computer Recognition System 89646BA284 VME/Overlay Interface PCB 8946-0001 Used 1
Computer Recognition Systems 8946AH169 VME/Overlay Interface PCB 8946-0001 Used 1
Bio-Rad Y5305020A Issue B PCB Card Y5305021 Quaestar Q7 Used Working 1
RadiSys SBC 552B PCB Board ASML 879-8103-002 Used Working 1
Perkin-Elmer A1206 ADC/ELPS Interface PCB Card ASML 859-8218-002 Used Working 1
Zendex ZX 564 ZBX Mother Board PCB ASML 859-8147-001 E Used Working 1
SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Rev. C Used 1
Nikon 4S014-082-? PCB Card AM6PDCTL Used Working 1
Nikon 4S015-063 PCB Card NK386SX Used Working 1
Nikon 4S014-014-A NSR System Control PCB Card DCM86-L2 Used Working 4
 Lam Research 716-011036-001 Ring Filler Lower Rev. F Used Working  1
Lam Research 716-011036-001 Ring Filler Lower Rev. F New 2
Edwards D37370761 :GX Accessory Module Used Working 3
Edwards D37370761 :GX Accessory Module Used Working 6
Edwards D37370761 :GX Accessory Module Used Working 4
Novellus 03-108656-00 C3 PMP EMO Cable Assembly 25FT. New  7
NTI Vopex-2KVIM-A 2-port Video Switching Module KVM Splitter New 4
Yakasawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Used Working 1
Yakasawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Used Working 8
Shimadzu TMP-203M Turbo Molecular Pump Used Tested Working 1
Shimadzu TMP-203M Turbo Molecular Pump Used Tested Working 2
Shimadzu TMP-203M Turbo Molecular Pump Used Tested Working 1
Shimadzu EI-D3203M Turbomolecular Pump Controller 1.2K TMP Used Tested Working 1
Shimadzu EI-D3203M Turbomolecular Pump Controller 1.0K TMP Used Tested Working 1
AMAT Applied Materials Pneumatic Door Assembly Desica Cleaner Used 2
TEL Tokyo Electron 2987-416025-W3 IRA X-Axis Assembly 2980-191974-11 ACT12 Used 1
Lambda LCS-A-120 Power Supply RWS15A-LUS-8A-5 Reseller Lot of 22 Used Working 1
Bio-Rad Y5304800P RATS Interface Board PCB Card Y5304803 Quaestor Q7 Used  3
Optronics Engineering LE-470 Microscope Camera Controller 99350C Used Working 1
RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used Tested Working 5
AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF Used Working 1
AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF New 1
NANOmetrics 7300-013555 CE-Chuck Full Contact AMAT 3820-00003 Used Working 3
TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate Used Working 1
Clean Services 15-262478-00 PED Blankoff Novellus C3 Altus Used Working 1
M.E.C. Tech MEC30512-1268TI Process Plate Assembly Copper Refurbished 2
Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Copper New 2
Honeywell 060-3155-01 Display and Signal Conditioner NK Used Working 2
Ultratech Stepper Inspection Stage 2244i Photolithography 03-15-05375 Used 1
Ultratech Stepper 03-20-02211-02 General I/O Breakout 2 PCB 2244i Used Working 1
Ultratech Stepper 03-20-02294-02 General I/O Beakout 1 PCB 2244i Used Working 1
Ultratech Stepper 03-20-01588-02  Motor MUX Transition PCB 2244i Used Working 1
ASML 4022.637.13753 Stainless Steel Braided Hose DNSO-VLV-DN50-2X90D New 4
ASML 4022.477.31546 Stainless Steel Braided Hose SS-NTDN32-MNPT11/4-VLV-2X90 New 4
ASML 4022.639.81711 Stainless Steel Braided Hose DN50/65 NUT-90D-VL-NPT2.5 New 5
ASML 4022.477.48586 Stainless Steel Braided Hose DNSO FNPT 1 1/2 VALVE New 2
ASML 4022.639.13261 Hose PFA-FDN15-MNPT1/2-VLV-90D New 1
ASML 4022.635.81433 2-Way Manifold FNPT 2 1/2-2X NUT DN50 New 2
ASML 4022.635.60321 NXT Bend DN40 Assembly New 3
ASML 4022.635.80822 Hose PFA-F3/4-VLV-F3/4-2X 90DEG New 1
ASML 4022.635.80623 Hose PFA-F3/4-VLV-F3/4-2X 90DEG New 1
Serto 630.40321 LPE Restriction ASML 4022.630.82162 Lot of 10 New 1
Premium 0588.2 Power Supply Card ASML 4022.471.84293 Used Working 1
ASML 4022.642.54911 Stainless Steel Elbow OYX0903-339�304�DN32 Lot of 4 Used 1
HORIBA Advanced Techno ERD-001C-T Resistivity Sensor New 1
Broyce Control 8519536 PDF Time Delay Relay MIEDF New 4
Edwards B27158181 ISO80 Trapped Ring Lot of 4 New 2
Edwards B27158172 ISO160 Trapped O-Ring Seal Lot of 3 New 1
Edwards C10007090 ISO60-250 Claw Clamp Reseller Lot of 32 New 1
Edwards C10007090 ISO60-250 Claw Clamp Reseller Lot of 32 New 4
Edwards C10007181 ISO63-100 Single Claw Reseller Lot of 40 New 2
DCG-200Z ENI DC22S-Z022000010A 0190-08034 Damaged Breaker Used Tested Working 1
C&H Enterprises IS0289073-00 Blankoff Top Plate WCVD New 1
Novellus 10-363935-00 RF Plasma Tube Used Working 4
Novellus 10-363935-00 RF Plasma Tube Used Working 7
Yaskawa JUSP-OPOZA Digital Operator Panel Servopack Used Working 1
Cole-Palmer 98515-10 Recirculation Spray Gun TEQCOM RECIRC-101 Used Working 1
ASML 4022.637.43121 Hose PFA F/F 3/4 90 DEG New 1
ASML 4022.637.65802 Hose PFA-F3/8-VLV-F3/8-2X 90DEG New 1
AMAT Applied Materials 0020-27155 Sleeve Brush Module New 1
Edwards D37360310 Tool Interface SPI New 1
VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 1
VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 1
VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 2
VAT 26334-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 2
VAT 26334-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 1
VAT 26332-KA11-1001 Angle Isolation Valve Copper Exposed Used Working 1
VAT 26332-KA71-1001 Angle Isolation Valve Copper Exposed Used Working 1
VAT 26328-KA11-1002 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 1
VAT 26324-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 3
VAT 26324-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used 2
Tylan General FC-2900M Mass Flow Controller 20 SCCM He Used Working 1
Tylan General FC-2900M Mass Flow Controller 20 SCCM He Used Working 4
TEL Tokyo Electron TS3210-303210-11 Myler Ring Lot of 26 New 1
Omega Engineering LVUN601-31310-.035-.25-1 Non Invasive Liquid Level Switch New 1
Banner D12SN6FP High Power Fiber Optic Sensor 12-8800-050 New 1
AMAT Applied Materials 0020-28668 SWLL Vacuum Poppet Valve 0020-28669 Used 4
AMAT Applied Materials 0020-28668 SWLL Vacuum Poppet Valve 0020-28669 Used 2
Millipore W2501VK01 3-Way Valve 864-13404-0 New 1
Nor-Cal A113802 Manual Angle Isolation Valve Used Working 1
Nor-Cal A113802 Manual Angle Isolation Valve Used Working 2
Digital Dynamics 27-10157-00 I/O Controller IOC V4.00 Used Working 1
Digital Dynamics 27-10157-00 I/O Controller IOC V3.02 Used Working 1
AMAT Applied Materials 3300-04424 FTG Hose Barb 1.00H SWVL Lot of 4 New 1
AMAT Applied Materials 3300-04424 FTG Hose Barb 1.00H SWVL Lot of 4 New 1
MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Used 1
MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN A119182 RB Used 1
Opto22 35566-1097 Relay Board PCB MRC Eclipse Star Used Working 1
SCP Global Technologies 00033602-00 MCS-E PIM Interface Used Working 1
MKS Instruments 2170A12CL1BV Mass Flow Controller Assembly 6LV-RD6901-C Used 3
iL7ON Edwards NRB4-46-945 Dry Vacuum Pump 44960 Hours Used Tested Working 1
CFM Technologies 22024-02 Relay PCB Card B11/07 B11/08 Used Working 1
CFM Technologies 22024-02 Relay PCB Card B11/10 B11/09 Used Working 1
CFM Technologies 22024-02 Relay PCB Card B11/03 B11/04 Used Working 1
CFM Technologies 22024-02 Relay PCB Card B11/05 B11/06 Used Working 1
CFM Technologies 22024-02 Relay PCB Card B11/14 B11/15 Used Working 1
CFM Technologies 22024-02 Relay PCB Card B11/01 Used Working 1
Dart Controls 701BDC Motor Speed Control PCB Used Working 1
Edwards D37360330 Tool Interface TEL Used Working 4
Unipower 001-1607-100 Power Supply 500 Watt JG600 Used Working 1
Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used 1
Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used 4
Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used 3
Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used 1
Fujikin SCH0150 Heater Belt 100V-50W-1m Lot of 2 New 1
Edwards Y14101004 TMS Insulation Clamp 40MM Lot of 8 New 1
Nikon 4S013-448 IRC-A-PCB PCB Board Used Working 6
AMAT Applied Materials 0190-07679 Control Station Interface Specification New 1
TEL Tokyo Electron 3D86-005178-V1 N Copper RF Cable 2 MHz 19.97m Used Working 1
TEL Tokyo Electron 3D86-005146-V1 RF Cable 2MHz 19.97m Used Working 1
MRC Material Research Corp 885-11-000 PCB POS. 1 Rev. D Used Working 1
Edwards Y14101000 TM5 Insulation Joining Straps 40mm Lot of 18 New 1
AMAT Applied Materials 3700-02144 O-Ring Lot of 23 3700-01454 3700-01170 New 1
Lam Research 734-007412-001 Load Lock Cover O-Ring Lot of 9 New 1
AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line Used Working 1
Edwards U30005093 Stainless Steel Vacuum Hose PHHT1/4- T5T51/4-74 Lot of 4 New 1
Granville-Phillps 275116 275 Convectron Gauge N2 Used Working 5
MKS Instruments 2179A-22444 Mass Flow Controller Assembly 6LVV-DP6901-C Used 1
Mitutoyo 09AAA790 Linear Scale ST320 Lot of 2 Used Working 1
AMAT Applied Materials 0050-20160 Centura EMO Interconnect Cable Assembly New 1
JAE 3143-1000 Accelerometer Sensor JA-5V Used Working 4
Intergrated Power Designs SRW-65-1004 Power Supply WSR65US24-C Used Working 3
Nemic-Lambda EWS100-5 Power Supply 5V Used Working 3
Nemic-Lambda EWS100-5 Power Supply 5V Used Working 4
Power-One SPM5G5M6L Switching Power Supply 1500 Watt Used Working 2
Cosel PAAISOF-12 Power Supply R50A-24 5V 12V 15V 24V P100E-5 Lot of 5 Used 1
Cosel PAA75F-15 Power Supply 15V PAA50F-15 Lot of 4 Used 1
Cosel MMB5OU-6 Power Supply Multiple Output Lot of 5 Used 1
Cosel PAASOF-24 Power Supply 24V Lot of 4 Used Working 1
Cosel P30E-12 Power Supply 12V Lot of 2 Used Working 1
Volgen SX150U-24S Power Supply 24V 6.5A Used Working 1
Volgen EXU-156R6 Power Supply 15V Lot of 4 Used Working 1
Cosel MMC7SU-1 Power Supply Multiple Output MMC Lot of 4 Used Working 1
CKD VEC-SHA8G-C0303 Pressure Control Valve System VEC-VH8G-C0305-2 Used Working 1
Fujikin 467000 Pneumatic Actuated Valve Reseller Lot of 4 Used Working 7
Fujikin FPR-UDDFA-21-6.35UGF-APD Pneumatic Actuated Valve 070866 Lot of 4 Used 18
Fujikin FPR-SDA-21-6.35UGF-APD Pneumatic Actuated Valve 070924 Lot of 5 Used 12
Fujikin FPR-SDAT-216.35UGF-APD Pneumatic Actuated Valve 070916 Lot of 5 Used 12
Fujikin FPR-SDAT-21-6.35UGF-APD#B Pneumatic Actuated Valve 091404 Lot of 5 Used 4
Fujukin FPR-SDA-21-6.33UGF-APD#B Pneumatic Actuated Valve 091400 Lot of 5 Used 5
NKS -0.1 to 0.2 MPa  Pressure Gauge 1.45" Face VCR Lot of 5 Used Working 1
NKS -0.1 to 0.4 MPa Pressure Gauge 1.45" Face VCR Lot of 5 Used Working 7
NKS -0.1 to 1MPa Pressure Gauge 1.45" Face VCR Lot of 5 Used Working 6
Tescom 12-1A11IGS2W1.54 Manual Pressure Regulator High Purity Lot of 8 Used 5
MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working 1
MKS Instruments 51B13TCA2BA700 Baratron Pressure Switch Lot of 2 Used Working 1
MKS Instruments 51B13TCA2BA100 Baratron Pressure Switch Lot of 2 Used Working 1
AMAT Applied Materials 0015-02570 FTG Elbow 90 Degree Lot of 3 New 1
MKS Instruments 51A13TCA2BA100 Baratron Pressure Switch Lot of 2 Used Working 1
MKS Instruments 51A13TCA2BA700 Baratron Pressure Switch Lot of 2 Used Working 2
MKS Instruments 2259C-11161 Mass Flow Controller Assembly SS-BN496-2C Used 1
Wasco SV128-31W2A-X Vacuum Pressure Switch Lot of 2 Used Working 1
Siemens ED23B100 Circuit Breaker GF01ED60 I-T-E Used Working 1
SMC US5200 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working 1
SMC US5203 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working 1
TEL Tokyo Electron 8-Port Pneumatic Manifold 41-48 CKD 4SB019-C3 ACT12 Used 2
TEL Tokyo Electron 8-Port Pneumatic Manifold 41-48 CKD 4SB019-C3 ACT12 Used 4
TEL Tokyo Electron 8-Port Pneumatic Manifold 41-48 CKD 4SB019-C3 ACT12 Used 1
TEL Tokyo Electron 8-Port Pneumatic Manifold 1-8 CKD 4SB019-C3 ACT12 Used 1
TEL Tokyo Electron 8-Port Pneumatic Manifold 1-8 CKD 4SB019-C3 ACT12 Used 2
AMAT Applied Materials 0150-00322 Endura 300mm CHM EMO Cable Assembly New 1
Swagelok FJ Series 3/4" Stainless Steel Convoluted Hose TS-20 Clamp 6.5" Used 3
Swagelok FJ Series 1/2" Stainless Steel Convoluted Hose TS-15 Clamp 6.5" Used 7
iL70N Edwards A533-A5-945 Dry Vacuum Pump 45545 Used Tested Working 1
TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used 10
TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used 2
TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used 1
TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used 12
Omron G9SC-120-TE2 RY MTR #02 PCB TEL Tokyo Electron Lithius Used Working 2
Omron G9SC-120-TE2 RY MTR #02 PCB TEL Tokyo Electron Lithius Used Working 1
TEL Tokyo Electron HA-017 BOARD IF FN #01 Lithius Used Working 2
TEL Tokyo Electron HA-017 BOARD IF FN #01 Lithius Used Working 1
Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working 9
Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working 2
Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working 1
Mitsubishi MR-J2S-20A-PQ108T020 AC Servo TEL Tokyo Electron Lithius Used Working 9
Mitsubishi MR-J2S-20A-PQ108T020 AC Servo TEL Tokyo Electron Lithius Used Working 1
Mitsubishi MR-J2S-20A-PQ108T020 AC Servo TEL Tokyo Electron Lithius Used Working 1
Mitsubishi MR-J2S-20A-PQ 108T021 AC Servo TEL Tokyo Electron Lithius Used 7
Mitsubishi MR-J2S-20A-PQ 108T021 AC Servo TEL Tokyo Electron Lithius Used 2
Mitsubishi MR-J2S-20A-PQ 108T021 AC Servo TEL Tokyo Electron Lithius Used 1
Mitsubishi MR-J2S-20A-PQ108T022 AC Servo TEL Tokyo Electron Lithius Used Working 8
Mitsubishi MR-J2S-20A-PQ108T022 AC Servo TEL Tokyo Electron Lithius Used Working 2
Mitsubishi MR-J2S-20A-PQ108T022 AC Servo TEL Tokyo Electron Lithius Used Working 1
Mitsubishi MR-J2S-PQ108T023 AC Servo TEL Tokyo Electron Lithius Used Working 8
Mitsubishi MR-J2S-PQ108T023 AC Servo TEL Tokyo Electron Lithius Used Working 2
Mitsubishi MR-J2S-PQ108T023 AC Servo TEL Tokyo Electron Lithius Used Working 1
TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used 1
TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used 2
TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used 2
TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used 2
TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used 3
TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working 15
TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working 2
TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working 1
TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working 3
Daikin DFS410TC1B Fan Filter Unit MMH4601000 TEL Tokyo Electron Lithius Used 1
Daikin DFS410TC1B Fan Filter Unit MMH4601000 TEL Tokyo Electron Lithius Used 2
MKS Instruments 131882-G7 Baratron Pressire Transducer Reseller Lot of 5 Used 4
MKS Instruments 131882-G5 Baratron Pressure Transducer Reseller Lot of 5 Used 4
Edwards D37420000 Local Control Module iTIM E73+A1+T1 Reseller Lot of 10 Used 5
Edwards NRY0190412 6xPDT Switch Box iGX Pump Reseller Lot of 10 Used Working 1
Edwards NRY0190412 6xPDT Switch Box iGX Pump Reseller Lot of 10 Used Working 2
Edwards NRY0WP000 Abatement Enclosure 3xIGX100L/3xIGX600M Used Working 1
Edwards U20001107 Eason Control Box Vacuum Pump Module Rev. A Used Working 1
Edwards NRY0DN000 Eason Control Box 6 Vacuum Pumpo Module Rev. P Used Working 1
Edwards NRY00T0000 P126X VFB Purge Box Rev. E Used Working 1
Lambda LRS-50-15 AC-DC Switching Power Supply Reseller Lot of 5 Used Working 4
Lambda LRS-50-15 AC-DC Switching Power Supply Used Working 4
Lambda Electronics LNS-P-12 DC Regulated Power Supply Working Spare 2
Lambda Electronics LNS-P-12 DC Regulated Power Supply Working Spare 1
Lambda LNS-P-24 DC Regulated Power Supply Used Working 6
Lambda LDS-P-15 Regulated DC Power Supply Reseller Lot of 10 Used Working 3
AMAT Applied Materials 0020-96815 MEM Side Ring Rev. 002 New 2
Riken Keiki OX-571A Oxygen Module Volume Range 0-25% OS-B11N Used Working 4
上一页: 库存清单24  下一页: 库存清单22